KR100523365B1 - 플라즈마 반응기에서 포토레지스트 마스크 결함을 제거하는 방법 - Google Patents

플라즈마 반응기에서 포토레지스트 마스크 결함을 제거하는 방법 Download PDF

Info

Publication number
KR100523365B1
KR100523365B1 KR10-1999-7008069A KR19997008069A KR100523365B1 KR 100523365 B1 KR100523365 B1 KR 100523365B1 KR 19997008069 A KR19997008069 A KR 19997008069A KR 100523365 B1 KR100523365 B1 KR 100523365B1
Authority
KR
South Korea
Prior art keywords
photoresist mask
plasma reactor
plasma
substrate
etching
Prior art date
Application number
KR10-1999-7008069A
Other languages
English (en)
Other versions
KR20000075984A (ko
Inventor
수산씨. 아브라함
Original Assignee
램 리서치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리서치 코포레이션 filed Critical 램 리서치 코포레이션
Publication of KR20000075984A publication Critical patent/KR20000075984A/ko
Application granted granted Critical
Publication of KR100523365B1 publication Critical patent/KR100523365B1/ko

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/72Repair or correction of mask defects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • B08B7/0042Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like by laser
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Optics & Photonics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

플라즈마 반응기에서, 플라즈마 반응기 내에 포토레지스트 마스크를 가지는 기판을 삽입하는 단계를 포함하는, 포토레지스트 마스크 결함을 제거하는 방법. 이 방법은 질소로 구성되는 에칭 소스 기체를 플라즈마 반응기 내로 흐르게 하는 단계를 또한 포함한다. 이 방법은 에칭 소스 기체와 충돌하는 플라즈마를 사용하여 포토레지스트 마스크 결함을 제거하는 단계를 추가로 포함한다.

Description

플라즈마 반응기에서 포토레지스트 마스크 결함을 제거하는 방법{METHODS AND APPARATUS FOR REMOVING PHOTORESIST MASK DEFECTS IN A PLASMA REACTOR}
본 발명은 반도체 집적 회로(ICs)의 제작에 관한 것이다. 보다 특히, 본 발명은 기판의 포토레지스트 마스크 결함을 효과적으로 제거하고 유기질 바탕의 반사 방지 코팅 레이어를 통해 에칭하는 방법 및 장치에 관한 것이다.
반도체 제작에서, 트랜지스터와 같은 디바이스가 기판 위에, 즉 반도체 웨이퍼나 글래스 패널 위에 형성될 수 있다. 기판 위에는, 디바이스가 제작되는 다수의 레이어가 배치될 수 있다. 기판 위에 배치되는 금속 레이어로부터 에칭되는 금속 내부 연결 라인은 함께 디바이스를 연결하여, 원하는 바의 회로를 구성한다. 더 쉬운 설명을 위해서, 도 1은 레이어 스택(20)의 단면을 도시하고, 반도체 IC 레이어의 한 예를 나타낸다. 다음 이어지는 내용에서, 레이어 간의 공간 관계를 설명하기 위해 사용되는 "위", "아래"라는 용어는 연관된 레이어 사이의 직접 접촉을 의미하지만, 반드시 그럴 필요는 없다. 레이어 위, 아래, 그 사이의 다른 부가적인 레이어도 나타날 수 있다. 더욱이, 모든 도시된 레이어가 반드시 나타날 필요는 없고, 일부나 전부가 다른 레이어로 대치될 수 있다.
레이어 스택(20)의 바닥에는, 기판(100)이 도시된다. 산화물 레이어(102), 일반적으로 SiO2가 기판(100) 위에 형성된다. Ti, TiW, TiN, 또는 다른 적합한 장벽 물질과 같은 티타늄-함유 레이어로 형성되는 장벽 레이어(104)는 산화물 레이어(102)와 이어서 증착되는 금속 레이어(106) 사이에서 증착된다. 제공된 장벽 레이어(104)는 산화물 레이어(102)로부터 금속 레이어 내로 실리콘 원자가 확산해 들어가는 것을 막는 기능을 한다. 금속 레이어(106)는 예를 들어, 구리, 알루미늄, 또는 Al-Cu, Al-Si나 Al-Cu-Si와 같은 공지된 알미늄 합금으로 이루어지는 것이 일반적이다.
도 1의 나머지 레이어들, 즉 반사 방지 코팅(ARC) 레이어(108,110)와 위에 놓인 포토레지스트(PR) 레이어(112)는 금속 레이어(106)의 맨 위에 형성될 수 있다. ARC 레이어(108)는, Ti, TiN, 또는 TiW와 같은 또하나의 티타늄-함유 레이어로 이루어지고, 금속 레이어(106)의 표면에서 광이 반사 및 산란되는 것을 막고, 몇몇 경우에 힐록 성장(hillock growth)을 방지한다. 0.25 미크론이나 그 이하의 좁은 설계 규칙으로 집적되는 몇몇 기판에서, 레이어 스택(20)은 유기질 바탕의 반사 방지 물질 레이어를 추가로 포함할 수 있고, 상기 부가적 레이어는 종래의 반사 방지 물질(즉, Ti, TiN, TiW) 레이어 위에 배치된다. 유기질 바탕의 부가적 반사 방지 물질은 도 1에서 유기질 ARC 레이어(110)로 도시된다.
포토레지스트 레이어(112)는 종래의 포토레지스트 물질의 레이어를 나타내고, 자외선에 노출시킴으로서 에칭을 위해 패턴화될 수 있다. 당 분야의 숙련자는 레이어 스택(20)의 상기 레이어들을 쉽게 알아볼 수 있고, 상기 레이어들은 다수의 적합하고 공지된 증착 공정(즉, 화학 증기 증착법(CVD), 플라즈마-증가 화학 증기 증착법(PECVD), 그리고 스퍼터링과 같은 물리적 증착법(PVD))을 사용하여 형성될 수 있다.
앞서 언급한 금속 상호연결 라인을 형성하기 위해, 금속 레이어(106)와 같은 금속 레이어를 포함하는 레이어 스택의 레이어들은 적절한 포토레지스트 기술을 사용하여 에칭된다. 예를 들어, 이러한 포토레지스트 기술은 접촉 또는 스테퍼 리써그러피(stepper lithography) 시스템에 포토레지스트 물질을 노출시킴으로서 포토레지스트 레이어(112)를 패터닝(patterning)하는 공정과, 이어지는 에칭을 촉진시키기 위해 마스크를 형성하는 포토레지스트 물질을 현상(development)하는 공정을 포함한다. 적절한 에칭제를 사용하여, 마스크에 의해 보호받을 수 없는 금속 레이어의 영역은 에칭되어 사라지고, 금속 상호연결 라인만을 남긴다. 설명을 위해, 주 에칭 단계, 즉 금속 에칭이 완료된 후, 도 2에는 도 1의 레이어 스택(20)의 이상화된 단면이 도시된다. 이 예에서, 특징부(202)는, 레이어(110, 108, 106, 104)를 통해 에칭되지 않은 부분을 나타내면서, 기판(100) 위에 상호연결 라인을 형성한다.
회로 집적도를 더 높이기 위하여, 현대의 IC 회로는 점점 더 좁은 설계 규칙으로 집적된다. 그 결과, 특징부 크기, 즉 인접 상호연결 라인들 사이의 공간(즉, 트렌치)이나 상호연결 라인의 폭은 꾸준히 감소되어 왔다. 예를 들어, 대략 0.8 미크론의 라인 폭이 4 메가비트 다이나믹 랜덤 액세스 메모리(DRAM) IC에서 사용가능할 때, 256 메가비트 DRAM IC는 0.25 미크론이나 그 이하의 상호연결 라인을 사용한다.
특징부 크기가 줄어듬에 따라, 기판 레이어 스택의 아래에 놓인 레이어를 에칭하는 무결함 포토레지스트 마스크를 얻는 것이 점점 어려워지고 있다. 예를 들어, 포토레지스트 마스크 특징부의 임계 치수(즉, 폭)가 줄어듬에 따라, 포토레지스트 마스크의 트렌치 내와 같이 포토레지스트 물질이 필요없는 영역 내에서 모든 포토레지스트 물질을 제거하는 것은 불가능하다. 포토레지스트 마스크의 트렌치 바닥부의 불요한 포토레지스트 물질의 나머지 레이어나 블록은 이어지는 에칭 공정에 영향을 미치기 때문에 포토레지스트 내의 결함이 된다.
예를 들어, 도 3은 결함에 의해 문제가 발생하는 포토레지스트 마스크를 가지는 기판(300)이 도시된다. 도 3에서, 레이어(100,102,104,106,108,110)는 도 1의 동일 참조 번호를 가지는 레이어들과 유사하다. 종래의 포토레지스트 공정에 따라 처리되는 포토레지스트 마스크(112)는 트랜치(302) 내의 찌꺼기 레이어 형태 결함(scumming layer-type defects)과, 트렌치(304) 내의 경사진 발 형태 결함(sloped foot-type defects)이 생기는 문제를 가진다.
포토레지스트의 얇은 레이어가 트렌치의 바닥에 남아있도록, 트렌치(302) 내의 포토레지스트 물질을 불완전하게 제거함으로서, 찌꺼기 레이어 형태의 결함(scumming layer-type defects)이 생길 수 있다. 트렌치(302) 내에서 아래에 놓인 레이어가 이어지는 에칭 단계를 저지하기 때문에 이동되기 때문에, 좁은(예를 들어, 0.35 미크론 이하 폭의) 트렌치와 높은 애스펙트비(예를 들어, 3:1)의 트렌치에서 자주 발생하는 찌꺼기 레이어 형태의 결함(scumming layer-type defects)은 이어지는 에칭 공정(금속 에칭)의 균일성에 영향을 끼친다.
극단적인 경우, 이어지는 에칭 단계가 보호 마스크 특징부에 악영향을 끼치는 결함을 제거하는 단계를 포함할 필요가 있기 때문에, 마스크의 임계 크기를 넘는 부분에 대한 제어 손실이 생길 수도 있다.
포토레지스트마스크 특징부의 바닥에 부착되는 경사진 발이 존재하도록, 트렌치(304) 내에서 포토레지스트 물질을 불완전하게 제거함으로서, 경사진 발 형태의 결함(sloped foot-type defects)이 생길 수 있다. 불필요한 포토레지스트 물질의 블록이 에칭 프로파일의 전개(즉, 아래에 놓이는 에칭된 특징부를 좁히는 것)를 수정시키는 "차단(shadowing)"이나 방해 효과를 가할 수 있기 때문에, 경사진 발 형태의 결함(sloped foot-type defects)은 에칭된 특징부의 임계 치수(예를 들어 도 2의 예에서 특징부(202)의 폭 d1)를 변화시킬 수 있다. 더욱이, 이는 미세로우딩과 애스펙트비에 의존하는 에칭에 영향을 끼칠 수 있다. 예를 들어, 결과적으로 에칭된 특징부의 임계 치수가 과도하게 좁아서, 제작시나 전류가 흐를 때와 같은 사용시에 파괴현상이 나타날 수 있기 때문에, 임계 치수의 변화가 감소된 회로 항복을 일으킬 수 있다.
기존 기술에서, 포토레지스트 마스크 결함은 포토레지스트 마스크 상에서 재 형태 공정(ash-type process)이나 충돌 형태 공정(bombardment-type process)을 수행함으로서 치료된다. 충돌 형태 공정은 기판에 에칭제에 의한 물리적 충돌을 일으킨다. 한 예에서, 변압기와 연결된 플라즈마 공정 챔버에서 충돌이 일어난다. 높은 바닥 전극 전력에서, 에칭제는 결함을 스퍼터링시키기 위해 기판의 표면을 향해 가속된다. 예를 들어, 에칭제 흐름을 증가시키고 챔버 압력을 감소시킴으로서, 충돌은 또한 증가될 수 있다. 그러나, 여기에는 충돌 형태 공정에 연관된 단점이 있다. 예를 들어, 충돌 형태 공정은, 불요한 포토레지스트 물질, 즉 도 3의 찌꺼기 레이어나 경사진 발을 제거함과 동시에, 필수적인 포토레지스트 마스크 특징부를 제거할 수 있다. 따라서, 충돌 이후에, 이어지는 에칭 단계에서 불필요한 에칭으로부터 아래에 놓인 레이어들을 보호하기 위하여, 충돌 형태 공정은 포토레지스트 마스크의 능력을 적절하지 못하게 감소시키는 경향이 있다.
재 형태의 공정은 (산소 분자를 포함하는) 산화체를 바탕으로 하는 화학 구조물에 기판을 반응시킨다. 상기 화학 구조물은 포토레지스트와 반응하고 포토레지스트를 제거한다. 그러나, 마찬가지로 재 형태의 공정에도 단점이 있다. 예를 들어, 재 형태 공정은, 불요한 포토레지스트 물질을 제거함과 동시에, 필수적인 포토레지스트 마스크 특징부를 제거할 수 있다. 따라서, 충돌 이후에, 이어지는 에칭 단계에서 불필요한 에칭으로부터 아래에 놓인 레이어들을 보호하기 위하여, 재 형태 공정은 포토레지스트 마스크의 능력을 적절하지 못하게 감소시킨다.
재 형태 공정은 금속 에칭을 위해 사용되는 모듈과는 다른 반응기 모듈 내에서 또한 실행된다. 이는, 재 형태 공정의 산소를 바탕으로 하는 화학 구조물이, 금속 에칭 반응기 내에서 사용된다면, 금속 에칭을 위해 사용되는 어떤 화학 구조물, 예를 들어 BCl3와 반응할 수 있고, 반응기 챔버 내에 부적절한 양의 폴리머 입자를 형성하기 때문이다. 입자 오염을 증가시키면서도, 형성된 폴리머 입자의 양의 증가는 챔버의 세척 주기를 더 줄이게 되어, 비용을 증가시키게 된다. 재 형태의 공정을 실행하기 위해 다른 반응기 챔버를 사용하는 것은 제작 공정에 한 개 이상의 추가적인 단계를 포함시켜서, 기판 공정의 소요 시간을 증가시키고, 시간 내의 기판 처리량을 감소시킨다. 예를 들어, 기판이 종래의 포토레지스트 공정을 통해 처리된 후에, 기판 상에서 재 형태의 공정을 실행하여 포토레지스트 마스크 결함을 치료하고, 재 형태 공정 이후에 챔버로부터 기판을 제거하고, 그리고 아래에 놓인 금속 레이어의 실제 에칭을 위한 금속 에칭 반응기 내에 기판을 삽입하기 전에 (세척 등에 의해) 기판으로부터 입자들을 일소하기 위해, 분리된 챔버를 사용할 필요가 있다.
재 형태의 공정이나 충돌 형태의 공정은, 주 에칭을 실시하기 이전에 유기질 바탕의 ARC 레이어, 즉 도 3의 ARC 레이어(110)를 돌파하도록, 기존 분야에서 사용될 수 있다. 이러한 용도의 재 형태의 공정이나 충돌 형태의 공정은 마찬가지로 단점을 가진다. 즉, 금속 에칭 챔버 내에 오염물을 삽입하여, 에칭 균일성에 부정적 영향을 미치며, 시간 내 기판 처리량을 감소시키거나, 반응기 챔버 유지 비용을 증가시킨다.
앞서의 관점에서, 기판 상의 포토레지스트 마스크 결함을 효과적으로 제거하고 유기질 바탕의 반사 방지 코팅 레이어를 따라 에칭하는, 개선된 방법 및 장치가 요구된다.
도 1은 설명을 위해 예시된 반도체 IC 레이어를 나타내는 레이어 스택의 단면도.
도 2는 금속 에칭 단계가 완료된 후 도 1의 레이어 스택의 이상화된 단면도.
도 3은 포토레지스트 마스크가 결함으로 인해 문제가 발생하는 경우의 기판의 도면.
도 4는 본 발명의 한 실시예에서, 창의적인 포토레지스트 마스크 결함 제거 및 유기질 바탕의 ARC 통과 에칭에 사용하기 적합한 플라즈마 공정 시스템을 나타내는 TCPTM 9600 SE 플라즈마 반응기의 단순화된 도면.
도 5는 본 발명의 한 실시예에 따라, 포토레지스트 마스크 결함을 제거하고 유기질 바탕의 ARC 레이어를 통과하는, 질소 바탕의 에칭 소스 기체를 사용하는, 창의적인 에칭 기술에 연루된 단계를 도시하는 도면.
(참조 번호 설명)
20 ... 레이어 스택 100,300,450 ... 기판
102 ... 산화물 레이어 104 ... 장벽 레이어
106 ... 금속 레이어 108,110 ... 반사 방지 코팅 레이어
112 ... 포토레지스트 레이어 202 ... 특징부
302,304 ... 트렌치 400 ... 플라즈마 반응기
402 ... 플라즈마 공정 챔버 403 ... 전극(코일)
404 ... 샤워 헤드 405,420 ... RF 발생기
410 ... 척 452 ... 집적 회로 칩
460 ... 포트
본 발명은, 한 실시예에서, 포토레지스트 마스크 결함을 제거하기 위한 플라즈마 반응기에서의 방법에 관계한다. 상기 방법은 포토레지스트 마스크를 가지는 기판을 플라즈마 반응기 내로 삽입하는 공정을 포함한다. 이 방법은 질소로 이루어지는 에칭 소스 기체를 플라즈마 반응기 내로 흐르게 하는 공정을 또한 포함한다. 에칭 소스 기체에는 산소가 없다. 이 방법은 에칭 소스 기체와 플라즈마가 충돌하는 방법을 사용하여 포토레지스트 마스크 결함을 제거하는 공정을 또한 포함한다.
다른 하나의 실시예에서, 본 발명은, 플라즈마 반응기 내에서, 유기질 바탕의 반사 방지 코팅 레이어를 통과하는 방법에 관계한다. 이 방법은 유기질 바탕의 반사 방지 코팅 레이어를 가지는 기판을 플라즈마 반응기 내로 삽입하는 공정을 포함한다. 이 방법은 질소로 구성되는 에칭 소스 기체를 플라즈마 반응기 내로 흐르게 하는 공정을 추가로 포함한다. 이 방법은 에칭 소스 기체와 플라즈마 충돌하는 방법을 사용하여 유기질 바탕의 반사 방지 코팅 레이어의 두께를 따라 에칭하는 과정을 포함한다.
다른 하나의 실시예에서, 본 발명은, 플라즈마 반응기 내에서, 폴리아미드 바탕의 반사 방지 코팅 레이어를 따라 에칭하는, 조합된 포토레지스트 마스크 제거와 통과를 실행하기 위한 방법에 관계한다. 이 방법은 플라즈마 반응기 내의 척(chuck)에 기판을 위치시키는 단계를 포함한다. 기판은, 포토레지스트 마스크와, 아래에 놓이는 폴리아미드 바탕의 반사 방지 코팅 레이어를 가진다. 이 방법은 질소로 구성되고 산소가 없는 에칭 소스 기체를 플라즈마 반응기 내에 흐르게 하는 단계를 추가로 포함한다. 또한, 이 방법은 포토레지스트 마스크 결함과, 에칭 소스 기체와 플라즈마 충돌하는 폴리아미드 바탕의 반사 방지 코팅 레이어를, 에칭시켜 제거하는 단계를 포함한다.
본 발명의 이 특징과 다른 특징은 본 발명의 실시예와 다음의 도면을 참고로 하여 아래에서 더욱 자세히 기술될 것이다.
본 발명은 첨부된 도면에서 도시되는 바와 같이 본 발명의 몇몇 선호되는 실시예를 참조로 하여 자세히 기술될 것이다. 다음의 내용에서, 본 발명의 완전한 이해를 돕기 위해 여러 가지 특별한 상세 내용이 기술될 것이다. 그러나, 당 분야의 숙련자에게 있어서, 본 발명은 이러한 특별한 상세 내용 없이도 실행할 수 있다는 것은 매우 명백하다. 다른 예에서 잘 알려진 공정 단계나 구조는 본 발명의 본질을 불필요하게 흐리기 때문에 자세히 기술하지 않는다.
한 실시예에서, 플라즈마 공정 챔버 내의 주요 에칭 소스 기체인 질소 에칭 기술을 통해, 앞서 논의된 포토레지스트 마스크 결함이 제거된다. 포토레지스트 마스크를 포함하는 기판이 플라즈마 공정 챔버 내로 삽입된 후, 포토레지스트 마스크의 찌꺼기 레이어 형태의 결함(scumming layer-type defects)이나 경사진 발 형태의 결함(sloped foot-type defects)의 제거를 촉진시키기 위해, 한정된 시간 동안 창의적인 질소 에칭 공정이 실행된다. 그 후, 포토레지스트 마스크가 설계되는 에칭 단계인 주 에칭 단계는 바람직하게 에칭되는 특징부를 얻도록 실행된다. 창의적인 질소 에칭 공정을 위해 사용될 때의 플라즈마 공정 챔버와 동일한 플라즈마 공정 챔버 내에서 주 에칭 단계가 수행되는 것이 선호된다. 추가적인 공정과 기판 이동 단계의 수가 최소화되어, 시간당 기판 처리량을 향상시키는 것이 바람직하다.
또다른 실시예에서, 주 에칭 단계 이전에 유기질 바탕의 ARC 레이어(즉, 폴리아미드 바탕의 ARC 레이어)에 대한 에칭 단계로, 창의적인 질소 에칭 공정이 수행된다. 이 실시예에 따라, 주 에칭 단계를 실행하기 이전에 한정된 시간 동안 창의적인 질소 에칭 공정이 실행된다. 기판이 포토레지스트 마스크 결함 제거를 원하면, 주 에칭 단계를 수행하기 이전에, 포토레지스트 마스크 결함을 제거하고 유기질 바탕의 ARC 레이어를 통과하도록, 창의적인 질소 에칭 공정은 확장될 수 있다. 앞서 언급한 바와 같이, 한 실시예에서, 부가적인 공정과 기판 이동 단계를 최소화하기 위하여, 창의적인 질소 에칭 공정을 위해 사용되는 동일한 플라즈마 공정 챔버에서 주 에칭 단계가 실행되는 것이 선호된다.
발명의 한 측면에 따라, 지금까지 비활성 정화 기체나 순환 기체(inert purging gas or cycling gas)로, 또는 폴리머-증가 첨가물(polymer-enhancing additive)로 간주되던 질소가 에칭 소스 기체로 유익하게 사용된다. 질소는, 본질적으로 비활성이고, (전혀 다른 용도임에도 불구하고) 기판 공정 장치에서 이용가능하며, 기판 공정 기구로 사용가능하다고 알려져 왔다. 그러나 플라즈마 공정 챔버에 오염을 최소로 하고 일련의 공정 단계의 해로운 효과를 거의 가지지 않으면서, 포토레지스트 마스크 결함 및 유기질 바탕의 ARC 레이어 물질의 제거를, 에칭 화학물로서의 저렴하고 비독성인 질소가 용이하게 촉진시킨다. 기존의 재 형태 공정에 비교하여, 즉, 산화체를 바탕으로 하는 에칭 소스 기체를 포함하는 공정에 비교하여, 창의적인 질소 에칭 공정에 의해 오염 입자는 거의 형성되지 않아서, 한 실시예에서 사용되는 포토레지스트 마스크 결함 제거 및 유기질 바탕의 ARC 레이어의 통과에 동일 챔버를 사용할 수 있다. 따라서, 사용되는 다른 챔버 반응기의 수와 부가적인 공정 단계의 수는 최소화될 수 있다.
더욱이, 창의적인 질소 에칭 공정은 보호되는 포토레지스트 특징부(즉 주 에칭 단계에 의한 에칭이 바람직하지 않은 아래에 놓이는 레이어들의 부분 위에 있는 특징부)의 대미지를 줄이는 역할을 한다. 따라서, 포토레지스트 결함 제거와 유기질 바탕의 ARC 레이어 통과가 실행된 후에, 본 발명은 기판 상의 포토레지스트 마스크를 잘 형성시키고, 튼튼하게 한다.
본 발명의 한 측면에 따라, 창의적인 포토레지스트 마스크 결함 제거 및 유기체를 바탕으로 하는 ARC 에칭 기술은 공지된 플라즈마 공정 장치 중 하나에서 실행될 수 있다. 상기 공지된 플라즈마 공정 챔버는 반응성 이온 에칭(RIE), 자화 증가 반응성 이온 에칭(MERIE), 전자 사이클로트론 공명(ECR), 등 건식 에칭을 위한 장치들을 포함한다. 더욱 자세히 말하자면, 건식 에칭을 위한 전형적인 플라즈마 공정 챔버에서, 웨이퍼는 플라즈마로 처리된다. 공정 에칭 소스 기체를 챔버 내부로 공급시키는 입구 포트를 챔버는 포함한다. 에칭 소스 기체로부터 플라즈마를 유도하기 위해, 챔버와 연관된 전극에 적절한 RF 에너지가 가해진다. 공지된 바와 같이, 플라즈마를 유지하기 위해 에너지는 유도 방식이나 축전 방식으로 공급된다. 에칭 소스 기체로부터 이때 이것들이 형성되어, 레이어 스택과 반응하고, 웨이퍼 레이어 스택의 플라즈마 함유 영역에서 에칭되어 사라진다. 이 휘발성의 부산물은 출구 포트를 통해 소진된다.
플라즈마 에칭은 웨이퍼 공정 동안 양극(anode)이나 접지 전극에 웨이퍼가 위치하는 상황에 관한 것이다. 다른 한편으로, 반응성 이온 에칭(RIE)은 공정 단계 동안 음극(cathode)이나 전력이 공급되는 전극에 웨이퍼가 위치하는 상황에 관한 것이다. 반응기 벽 표면에 에너지를 가지는 전자의 손실을 감소시키기 위해 자기장이 가해지는 여러 가지 RIE 반응기를 자화 증가 반응성 이온 에칭(MERIE)이 나탄낸다. 어떤 상황 하에서, MERIE 반응기가 전극으로부터 플라즈마 내의 전자까지의 에너지 전달 효율을 증가시킬 수 있다는 것은 알려져 있다.
본 발명은 상기 반응기에서 뿐 아니라, 다른 적합한 플라즈마 공정 반응기에서도 실행할 수 있다. 플라즈마에 가해지는 에너지가 축전 방식으로 연결된 병렬 전극판을 통해, ECR 마이크로웨이브 플라즈마 소스를 통해, 또는 유도 방식으로 결합되는 RF 소스(예를 들어, 헬리콘, 나선형 공명기, 그리고 변압기 연결 플라즈마)를 통해 전달되는 사실 여부에 관계 없이 앞서의 언급은 사실이다. ECR과 TCPTM(변압기 연결 플라즈마) 공정 시스템은 다른 무엇보다도 상업적으로 즉시 이용가능하다. TCPTM 시스템은 캘리포니아의 Lam Research Corporation of Fremont 사에서 구입할 수 있다.
선호되는 실시예에서, 위에서 언급한 바와 같이 어떤 종래의 적절한 플라즈마 공정 시스템이 사용될 수 있지만, Lam Research Corporation 의 TCPTM 9600 SE 플라즈마 반응기에서 본 발명이 사용된다. 도 4는 TCPTM 9600 SE 플라즈마 반응기의 간단화된 도면이다. 상기 반응기에는 기판(450)과 집적 회로 칩(452)이 있다. 기판이 창의적인 에칭에 따라 에칭되고 종래의 이후-에칭 단계에서 처리된 후에, 기판(450)의 다이 컷(dies cut)으로부터 상기 집적 회로 칩(452)이 제작된다. 편의상 IC 웨이퍼가 예로 사용되었으나, 창의적인 포토레지스트 마스크 결함 제거 및 유기질 바탕의 ARC 에칭 공정이 어떤 기판(예를 들어, 평면 표시 패널)의 에칭에도 적용된다는 사실을 명심해야 한다.
도 4에서, 반응기(400)는 플라즈마 공정 챔버(402)를 포함한다. 상기 챔버(402)에는 전극(403)이 배치되고, 상기 전극(403)은 도 4의 코일에 의해 구체화된다. 코일(403)은 (도 4에 도시되지 않는) 결합 네트워크를 통해 RF 발생기(405)에 의해 에너지를 받는다.
챔버(402) 내에는, 샤워 헤드(404)가 제공된다. 상기 샤워 헤드(404)는 샤워 헤드(404)와 기판(450) 사이의 RF로 유도되는 플라즈마 영역 내로 기체 소스 물질(즉, 에칭 소스 기체)을 방출하는 다수의 구멍을 포함하는 것이 선호된다. 기체 소스 물질은 챔버 자체의 벽 내에 있는 포트로부터 챔버 내로 방출될 수도 있고, 또는 챔버 내에 배치되는 기체 고리로부터 챔버 내로 방출될 수도 있다. 기판(450)은 챔버(402) 내에 삽입되고, 척(410) 위에 배치된다. 상기 척(410)은 제 2 전극으로 작용하고, (역시 마찬가지로 결합 네트워크를 통해) RF 발생기(420)에 의해 바이어스되는 것이 선호된다.
헬륨 냉각 기체는 척(410)과 기판(450) 사이의 압력 하에서 삽입되어, 공정 기간 동안 기판의 온도를 정확히 제어하는 열 전달 매체로 작용하여, 균일하고 반복적인 에칭 결과를 보장한다. 플라즈마 에칭 시에, 챔버(402) 내의 압력은 포트(460)를 통해 기체를 배출함으로서 조절된다. (도 4에서 생략된) 다수의 가열기가 에칭을 위한 적절한 챔버 온도를 유지하기 위해 제공될 수 있다. 접지까지의 전기 경로를 제공하기 위하여, 챔버(402)의 챔버 벽이 접지될 수 있다.
본 발명의 한 실시예에 따라, 도 5는 창의적인 에칭 기술에 연관된 단계를 도시한다. 포토레지스트 마스크 결함을 제거하고 유기질 바탕의 ARC 레이어를 통과하기 위해, 상기 에칭 기술은 질소를 바탕으로 하는 에칭 소스 기체를 사용한다. 단계 502에서, 포토레지스트 마스크를 가지는 기판이 플라즈마 공정 챔버 내로 삽입된다. 단계 504에서, 질소로 이루어지는 에칭 소스 기체가 플라즈마 공정 챔버 내로 흘러들어간다. 한 실시예에서, 에칭 소스 기체는 대부분 질소로 이루어진다. 에칭 소스 기체가 비산화체인 것이 바람직하다. 즉 산소가 에칭 소스 기체 혼합물에 사용되지 않는 것이 선호된다.
단계 506에서, 포토레지스트 마스크 결함을 제거하고 유기질 바탕의(즉, 폴리아미드 바탕의) ARC 레이어의 통과 에칭을 실행하기 위해, 플라즈마는 질소를 바탕으로 하는 에칭 기체와 충돌한다. 단계 506은 한 실시예에서 한정된 시간 내에 실행된다. 모든 포토레지스트 마스크 결함이 제거될 수 있도록, 상기 한정된 시간이 결정된다. 유기질 바탕의 (즉, 폴리아미드 바탕의) ARC 레이어를 통해 부가적인 에칭이 수행된다면, 유기질 바탕의 ARC 레이어를 에칭하는 데 필요한 시간을 상기 한정된 시간은 고려해야 한다.
본 발명의 한 측면에 따라, 포토레지스트 마스크 결함 제거와 유기질 바탕의 통과 에칭을 실행하는 데 사용되는 플라즈마 공정 챔버가 주 에칭 단계에서도 사용되는 것이 바람직하다. 예를 들어, 포토레지스트 마스크 결함 제거와 유기질 바탕의 ARC 레이어 통과를 실행하기 위해 기판은 주어진 플라즈마 공정 챔버 내로 삽입될 수 있다. 이어서, 아래에 놓인 금속 레이어를 통해 에칭하기 위해, 즉 전도성 금속 라인을 형성하기 위해, 동일한 플라즈마 공정 챔버가 사용된다. 질소를 바탕으로 하는 에칭 공정이 오염 입자를 거의 발생시키지 않기 때문에, 본 발명은 촉진된다. 유익하게도, 또하나의 플라즈마 공정 챔버를 포함할 필요가 전혀 없어서, 포토레지스트 마스크를 결함없이 형성시키고 유기질 바탕의 ARC 레이어를 통과시키는 데 필요한, 부가적 공정이나 기판 이동 단계의 수를 최소화시킬 수 있다.
다음의 문단에서, 포토레지스트 마스크 결함 치료 및 유기질 바탕의 ARC 레어어 통과를 위해, 창의적인 질소 에칭 단계를 수행하기에 적합한 적절한 범위가 공개된다. TCP 9600 SETM 플라즈마 반응기에서 200mm 웨이퍼에 대해 적절한 범위가 아래에서 기술되지만, 다른 치수와 다른 플라즈마 에칭 반응기를 가지는 웨치퍼에, 공개된 에칭 기술을 당분야의 숙련자가 적용할 수 있는 가이드라인으로 이 범위는 사용되어야 한다.
TCP 9600 SETM 플라즈마 반응기에서 처리되는 150 mm 웨이퍼에 대하여, 질소를 포함하고 산화체를 포함하지 않는 에칭 소스 기체의 전체 흐름은 약 20 sccm에서 200 sccm 사이이고, 특히 25 sccm과 120 sccm 사이가 선호되며, 더욱 바람직한 범위는 약 60 sccm이다.
TCP 전력은 약 125-1,200 와트(W) 사이이고, 특히 150-700 W가 선호되며, 더욱 바람직한 것은 약 560 W이다. 척 전극에 가해지는 전력은 125-800 W이고, 특히 125-350 W가 선호되며, 더욱 바람직한 범위는 약 140 W이다.
챔버 내부의 압력은 약 1-80 mT이고, 특히 6-40 mT가 선호되며, 가장 바람직한 범위는 약 15 mT이다. 바닥 전극 온도는 0-80℃이고, 특히 선호되는 범위는 20-70℃이며, 가장 바람직한 범위는 약 30℃이다.
실시예
샘플 에칭에서, 깊은 자외선(DUV) 포토레지스트 마스크를 가지는 200 mm의 웨이퍼가 TCP 9600 SETM 플라즈마 공정 챔버 내로 삽입된다. 1,600 옹스트롬 두께의 찌꺼기 형태 결함 레이어가 트렌치의 바닥에 존재하고, 그 일부는 0.35-0.40 미크론의 폭과 1.2 미크론의 높이를 가진다. 포토레지스트 마스크 결함을 제거하기 위해 사용되는 에칭 소스 기체는 질소로 이루어진다. 산화체(예를 들어 O2)는 포토레지스트 마스크 결함의 제거에 사용되지 않는다.
포토레지스트 마스크 결함을 제거하는 질소 에칭 단계 동안, 챔버 내의 압력은 약 15 mT이고, 배면의 헬륨 압력은 8 토르이다. 질소 에칭 소스 기체는 60 sccm의 유동량을 가진다. 꼭대기 TCP 전극 전력은 약 560 W이고, 바닥 전극에 가해지는 전력은 약 140 W이다. 포토레지스트 마스크 결함 제거 과정 동안, 웨이퍼가 배치되는 바닥 전극의 온도는 약 30℃로 유지된다. 이 실시예에서, 포토레지스트의 에칭 비율은 분당 2,000-4,000 옹스트롬이다. 포토레지스트 마스크 결함 제거 에칭 단계는 약 40 초 후에 종료된다. 종료 후에, 모든 찌꺼기 레이어 포토레지스트 물질이 제거되는 것을 알 수 있다.
비슷한 패러미터를 사용하여, 주 에칭 단계(즉, 금속 레이어 에칭 단계) 이전에 유기질 바탕의, 즉 폴리아미드 바탕의 ARC 레이어의 통과 에칭을 수행한다. 좀 더 긴 시간동안에서도, 포토레지스트 마스크 결함 제거와, 유기질 바탕의, 즉 폴리아미드 바탕의 ARC 레이어의 통과 에칭을 실시하도록 동일 처방이 사용될 수 있다.
이 발명이 여러 선호되는 실시예를 통해 기술되는 동안, 본 발명의 범위를 벗어나지 않는 여러 변경품과 대치물 및 동등품이 있다. 예를 들어, 여기서는 이해를 돕기 위해 종래의 포토레지스트를 참고로 기술하였지만, 창의적인 기술은 건식 포토레지스트에도 똑같이 적용된다. 작은 범위(예를 들어, 0.18 미크론 이하)의 에칭에 사용될 수 있는, 이 형태의 포토레지스트 마스크는, 마스킹 용도로 에칭되는 보호 포토레지스트 특징부를 가지기 전에, 예를 들어 실레이션 챔버(sylation chamber)를 통해, 이산화규소로 코팅되는 포토레지스트 등을 나타낼 수 있다. 건식 포토레지스트 마스크 내의 포토레지스트 마스크 결함을 어드레싱하는 데도, 상기 창의적인 에칭 기술을 마찬가지로 사용할 수 있다. 본 발명의 장치와 방법??르 구현하는 데는 여러 가지 방법이 있다는 것을 알아야 한다. 그러므로, 다음의 첨부된 청구항들은 본 발명의 정신과 범위 내에 있는 여러 변경품, 대치품, 및 등가품을 포함하여 해석되어야 할 것이다.

Claims (31)

  1. 플라즈마 반응기에서, 포토레지스트 마스크 결함을 제거하는 방법으로서, 상기 방법은,
    - 포토레지스트 마스크를 가진 기판을 상기 플라즈마 반응기 내에 삽입하고,
    - 질소로 이루어지는 에칭 소스 기체를 상기 플라즈마 반응기 내로 흐르게 하며, 이때, 상기 에칭 소스 기체에는 산소(O)가 없으며, 그리고
    - 이어지는 에칭 동작 이전에, 상기 에칭 소스 기체와 충돌하는 플라즈마를 이용함으로서 상기 포토레지스트 마스크의 지정 부분을 불완전하게 제거함으로서 생성되는 포토레지스트 마스크 결함을 제거하는
    단계를 포함하는 것을 특징으로 하는 포토레지스트 마스크 결함 제거 방법.
  2. 플라즈마 반응기에서, 포토레지스트 마스크 결함을 제거하는 방법으로서, 상기 방법은,
    - 포토레지스트 마스크를 가진 기판을 상기 플라즈마 반응기 내에 삽입하고, 이때, 상기 포토레지스트 마스크는 0.35 미크론 미만의 임계 치수를 가진 한개 이상의 특징부를 포함하며,
    - 질소로 이루어지는 에칭 소스 기체를 상기 플라즈마 반응기 내로 흐르게 하며, 이때, 상기 에칭 소스 기체에는 산소(O)가 없으며, 그리고
    - 상기 에칭 소스 기체와 충돌하는 플라즈마를 이용하여 상기 포토레지스트 마스크 결함을 제거하고, 이때, 상기 포토레지스트 마스크 결함은 상기 특징부의 하부를 향해 배열되는 슬로프 풋-타입 결함(sloped foot-type defects)과 스커밍 레이어-타입 결함(scumming layer-type defects) 중 한가지를 나타내는,
    단계를 포함하는 것을 특징으로 하는 포토레지스트 마스크 결함 제거 방법.
  3. 플라즈마 반응기에서, 포토레지스트 마스크 결함을 제거하는 방법으로서, 상기 방법은,
    - 포토레지스트 마스크를 가진 기판을 상기 플라즈마 반응기 내에 삽입하고, 이때, 상기 포토레지스트 마스크는 0.35 미크론 미만의 임계 치수와 3:1 이상의 애스펙트비를 가진 한개 이상의 트렌치를 포함하며,
    - 질소로 이루어지는 에칭 소스 기체를 상기 플라즈마 반응기 내로 흐르게 하며, 이때, 상기 에칭 소스 기체에는 산소(O)가 없으며, 그리고
    - 상기 에칭 소스 기체와 충돌하는 플라즈마를 이용하여 상기 포토레지스트 마스크 결함을 제거하고, 이때, 상기 포토레지스트 마스크 결함은 상기 트렌치의 하부를 향해 배열되는 슬로프 풋-타입 결함(sloped foot-type defects)과 스커밍 레이어-타입 결함(scumming layer-type defects) 중 한가지를 나타내는,
    단계를 포함하는 것을 특징으로 하는 포토레지스트 마스크 결함 제거 방법.
  4. 플라즈마 반응기에서, 포토레지스트 마스크 결함을 제거하는 방법으로서, 상기 방법은,
    - 포토레지스트 마스크를 가진 기판을 상기 플라즈마 반응기 내에 삽입하고, 이때, 상기 기판은 상기 포토레지스트를 아래에 배치한 폴리아미드-기반 층(polyamide-based layer)을 포함하며,
    - 질소로 이루어지는 에칭 소스 기체를 상기 플라즈마 반응기 내로 흐르게 하며, 이때, 상기 에칭 소스 기체에는 산소(O)가 없으며, 그리고
    - 상기 에칭 소스 기체와 충돌하는 플라즈마를 이용하여 상기 포토레지스트 마스크 결함을 제거하고, 그리고
    - 상기 폴리아미드-기반 층을 상기 플라즈마로 에칭하는
    단계를 포함하는 것을 특징으로 하는 포토레지스트 마스크 결함 제거 방법.
  5. 제 4 항에 있어서, 상기 폴리아미드-기반 층은 반사 방지 코팅층인 것을 특징으로 하는 포토레지스트 마스크 결함 제거 방법.
  6. 제 2 항에 있어서, 상기 제거 단계는 1 - 80 mT 사이의 플라즈마 반응기 압력에서 실행되는 것을 특징으로 하는 포토레지스트 마스크 결함 제거 방법.
  7. 제 2 항에 있어서, 상기 플라즈마 반응기 압력은 6 - 40 mT인 것을 특징으로 하는 포토레지스트 마스크 결함 제거 방법.
  8. 제 2 항에 있어서, 상기 기판은 상기 플라즈마 반응기 내의 척 위에 배치되고, 상기 척은 상기 제거 단계 동안 0 - 80 ℃로 유지되는 것을 특징으로 하는 포토레지스트 마스크 결함 제거 방법.
  9. 제 1 항에 있어서, 상기 제거 단계는 1 - 80 mT의 플라즈마 반응기 압력에서 실행되고, 상기 기판은 상기 플라즈마 반응기 내의 척 위에 배치되며, 상기 척은 상기 제거 과정 동안 0 - 80℃로 유지되는 것을 특징으로 하는 포토레지스트 마스크 결함 제거 방법.
  10. 제 9 항에 있어서, 상기 플라즈마 반응기는 상부 전극을 구비한 유도-연결형 플라즈마 공정 반응기이고, 상기 척은 상기 플라즈마 반응기의 하부 전극이며, 상기 척은 상기 제거 단계 중 0 - 80℃로 유지되는 것을 특징으로 하는 포토레지스트 마스크 결함 제거 방법.
  11. 제 10 항에 있어서, 상기 하부 전극에 125 - 350 W의 전력이 공급될 때 상기 제거 단계가 실행되는 것을 특징으로 하는 포토레지스트 마스크 결함 제거 방법.
  12. 제 1 항에 있어서, 상기 기판은 집적 회로 제작에 사용되는 기판을 나타내는 것을 특징으로 하는 포토레지스트 마스크 결함 제거 방법.
  13. 제 12 항에 있어서, 상기 플라즈마 반응기는 상기 포토레지스트 마스크 아래에 배치되는 금속층의 이어지는 에칭을 위해 사용되는 반응기를 나타내는 것을 특징으로 하는 포토레지스트 마스크 결함 제거 방법.
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
  28. 플라즈마 반응기에서, 포토레지스트 마스크 결함 제거와, 폴리아미드-기반의 반사 방지 코팅층의 통과 에칭을, 조합하여 실행하는 방법에 있어서, 상기 방법은,
    - 상기 플라즈마 반응기 내에서 척 위에 기판을 위치시키고, 이때, 상기 기판 위에는 포토레지스트 마스크가 위치하고 폴리아미드-기반의 반사 방지 코팅층이 포토레지스트 마스크 아래에 위치하며,
    - 산소(O)없이 질소로 구성된 에칭 소스 기체를 상기 플라즈마 반응기 내로 흐르게하며, 그리고
    - 상기 에칭 소스 기체와 충돌하는 플라즈마를 이용하여, 상기 포토레지스터 마스크 결함을 에칭하여 제거하고, 또한, 상기 폴리아미드-기반의 반사 방지 코팅층을 에칭하여 제거하는
    단계를 포함하는 것을 특징으로 하는 포토레지스트 마스크 결함 제거와, 폴리아미드-기반의 반사 방지 코팅층의 통과 에칭을, 조합하여 실행하는 방법.
  29. 제 28 항에 있어서, 상기 플라즈마 반응기는 변압기 연결 플라즈마(TCP) 반응기인 것을 특징으로 하는 포토레지스트 마스크 결함 제거와, 폴리아미드-기반의 반사 방지 코팅층의 통과 에칭을, 조합하여 실행하는 방법.
  30. 제 29 항에 있어서, 상기 플라즈마 반응기는 상기 폴리아미드-기반의 반사 방지 코팅층 하부에 배치되는 금속층을 에칭하는 데 이용될 수 있는 플라즈마 반응기로서, 이에 따라, 상기 플라즈마 반응기와는 틀린 다른 플라즈마 반응기 내에서 상기 금속층을 에칭할 필요가 없는 것을 특징으로 하는 포토레지스트 마스크 결함 제거와, 폴리아미드-기반의 반사 방지 코팅층의 통과 에칭을, 조합하여 실행하는 방법.
  31. 제 30 항에 있어서, 상기 기판이 집적 회로 제작에 사용되는 것을 특징으로 하는 포토레지스트 마스크 결함 제거와, 폴리아미드-기반의 반사 방지 코팅층의 통과 에칭을, 조합하여 실행하는 방법.
KR10-1999-7008069A 1997-03-07 1998-03-06 플라즈마 반응기에서 포토레지스트 마스크 결함을 제거하는 방법 KR100523365B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US08/813,778 US5980768A (en) 1997-03-07 1997-03-07 Methods and apparatus for removing photoresist mask defects in a plasma reactor
US8/813,778 1997-03-07
US08/813,778 1997-03-07
PCT/US1998/004572 WO1998039798A1 (en) 1997-03-07 1998-03-06 Methods and apparatus for removing photoresist mask defects_in a plasma reactor

Publications (2)

Publication Number Publication Date
KR20000075984A KR20000075984A (ko) 2000-12-26
KR100523365B1 true KR100523365B1 (ko) 2005-10-20

Family

ID=25213360

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-1999-7008069A KR100523365B1 (ko) 1997-03-07 1998-03-06 플라즈마 반응기에서 포토레지스트 마스크 결함을 제거하는 방법

Country Status (5)

Country Link
US (1) US5980768A (ko)
EP (1) EP0976151A1 (ko)
JP (1) JP2001514800A (ko)
KR (1) KR100523365B1 (ko)
WO (1) WO1998039798A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107695040A (zh) * 2017-10-20 2018-02-16 大族激光科技产业集团股份有限公司 激光清洗系统及方法

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100454626B1 (ko) * 1997-05-07 2005-01-05 주식회사 하이닉스반도체 반도체소자의금속배선형성방법
TW399234B (en) 1997-07-02 2000-07-21 Yamaha Corp Wiring forming method
US6391786B1 (en) * 1997-12-31 2002-05-21 Lam Research Corporation Etching process for organic anti-reflective coating
US6479401B1 (en) * 1999-12-01 2002-11-12 Worldwide Semiconductor Manufacturing Corp. Method of forming a dual-layer anti-reflective coating
US6949203B2 (en) * 1999-12-28 2005-09-27 Applied Materials, Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
US6440864B1 (en) 2000-06-30 2002-08-27 Applied Materials Inc. Substrate cleaning process
US6455431B1 (en) 2000-08-01 2002-09-24 Applied Materials Inc. NH3 plasma descumming and resist stripping in semiconductor applications
US6692903B2 (en) 2000-12-13 2004-02-17 Applied Materials, Inc Substrate cleaning apparatus and method
US6582861B2 (en) * 2001-03-16 2003-06-24 Applied Materials, Inc. Method of reshaping a patterned organic photoresist surface
US6498106B1 (en) * 2001-04-30 2002-12-24 Taiwan Semiconductor Manufacturing Company Prevention of defects formed in photoresist during wet etching
US6900139B1 (en) * 2002-04-30 2005-05-31 Advanced Micro Devices, Inc. Method for photoresist trim endpoint detection
US7399711B2 (en) * 2002-08-13 2008-07-15 Lam Research Corporation Method for controlling a recess etch process
US6979578B2 (en) 2002-08-13 2005-12-27 Lam Research Corporation Process endpoint detection method using broadband reflectometry
US7019844B2 (en) * 2002-08-13 2006-03-28 Lam Research Corporation Method for in-situ monitoring of patterned substrate processing using reflectometry.
US6818519B2 (en) * 2002-09-23 2004-11-16 Infineon Technologies Ag Method of forming organic spacers and using organic spacers to form semiconductor device features
US7622051B1 (en) 2003-03-27 2009-11-24 Lam Research Corporation Methods for critical dimension control during plasma etching
KR20050034887A (ko) * 2003-10-10 2005-04-15 삼성전자주식회사 전원전압 동기신호 생성 장치 및 방법
JP2005158392A (ja) * 2003-11-25 2005-06-16 Pioneer Electronic Corp 有機エレクトロルミネセンス素子の製造方法およびこれを用いる製造装置
US7164095B2 (en) * 2004-07-07 2007-01-16 Noritsu Koki Co., Ltd. Microwave plasma nozzle with enhanced plume stability and heating efficiency
US20060021980A1 (en) * 2004-07-30 2006-02-02 Lee Sang H System and method for controlling a power distribution within a microwave cavity
US7806077B2 (en) 2004-07-30 2010-10-05 Amarante Technologies, Inc. Plasma nozzle array for providing uniform scalable microwave plasma generation
US7189939B2 (en) * 2004-09-01 2007-03-13 Noritsu Koki Co., Ltd. Portable microwave plasma discharge unit
US7271363B2 (en) * 2004-09-01 2007-09-18 Noritsu Koki Co., Ltd. Portable microwave plasma systems including a supply line for gas and microwaves
US20060052883A1 (en) * 2004-09-08 2006-03-09 Lee Sang H System and method for optimizing data acquisition of plasma using a feedback control module
US7511936B2 (en) * 2005-07-20 2009-03-31 Taiwan Semiconductor Manufacturing Co., Ltd. Method and apparatus for dynamic plasma treatment of bipolar ESC system
CA2652642C (en) * 2006-02-09 2015-12-08 General Dynamics Ordnance And Tactical Systems - Canada Valleyfield Inc. Black powder substitutes for small caliber firearms
US8372754B2 (en) * 2007-04-11 2013-02-12 Micron Technology, Inc. Methods for removing photoresist defects and a method for processing a semiconductor device structure
US7670905B2 (en) * 2007-09-07 2010-03-02 Micron Technology, Inc. Semiconductor processing methods, and methods of forming flash memory structures
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
KR20150012591A (ko) * 2013-07-25 2015-02-04 삼성디스플레이 주식회사 유기발광표시장치 및 그 제조방법
KR102085976B1 (ko) * 2019-07-02 2020-03-06 (주)네프코 대전방지 및 방오 특성을 갖는 다기능성 투명 포토마스크 및 그 제조 방법
CN114512541B (zh) * 2020-11-17 2024-03-15 和舰芯片制造(苏州)股份有限公司 一种半导体衬底的沟槽栅蚀刻方法及器件

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4267012A (en) * 1979-04-30 1981-05-12 Fairchild Camera & Instrument Corp. Process for patterning metal connections on a semiconductor structure by using a tungsten-titanium etch resistant layer
JPS57157525A (en) * 1981-03-23 1982-09-29 Fujitsu Ltd Surface treating method
US4740485A (en) * 1986-07-22 1988-04-26 Monolithic Memories, Inc. Method for forming a fuse
US4782009A (en) * 1987-04-03 1988-11-01 General Electric Company Method of coating and imaging photopatternable silicone polyamic acid
US4878994A (en) * 1987-07-16 1989-11-07 Texas Instruments Incorporated Method for etching titanium nitride local interconnects
JP2528962B2 (ja) * 1989-02-27 1996-08-28 株式会社日立製作所 試料処理方法及び装置
US5429070A (en) * 1989-06-13 1995-07-04 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US4980018A (en) * 1989-11-14 1990-12-25 Intel Corporation Plasma etching process for refractory metal vias
JP2519364B2 (ja) * 1990-12-03 1996-07-31 アプライド マテリアルズ インコーポレイテッド Uhf/vhf共振アンテナ供給源を用いたプラズマリアクタ
FR2670693B1 (fr) * 1990-12-20 1993-04-16 Dutartre Didier Procede pour nettoyer la surface d'un substrat par plasma.
US5217570A (en) * 1991-01-31 1993-06-08 Sony Corporation Dry etching method
DE69320963T2 (de) * 1992-06-22 1999-05-12 Lam Res Corp Plasmareinigungsverfahren zum entfernen von rückständen in einer plasmabehandlungskammer
US5256245A (en) * 1992-08-11 1993-10-26 Micron Semiconductor, Inc. Use of a clean up step to form more vertical profiles of polycrystalline silicon sidewalls during the manufacture of a semiconductor device
US5326427A (en) * 1992-09-11 1994-07-05 Lsi Logic Corporation Method of selectively etching titanium-containing materials on a semiconductor wafer using remote plasma generation
JPH06151382A (ja) * 1992-11-11 1994-05-31 Toshiba Corp ドライエッチング方法
US5387556A (en) * 1993-02-24 1995-02-07 Applied Materials, Inc. Etching aluminum and its alloys using HC1, C1-containing etchant and N.sub.2
US5397684A (en) * 1993-04-27 1995-03-14 International Business Machines Corporation Antireflective polyimide dielectric for photolithography
US5545289A (en) * 1994-02-03 1996-08-13 Applied Materials, Inc. Passivating, stripping and corrosion inhibition of semiconductor substrates
JP2809087B2 (ja) * 1994-02-15 1998-10-08 日本電気株式会社 配線形成方法
US5562801A (en) * 1994-04-28 1996-10-08 Cypress Semiconductor Corporation Method of etching an oxide layer
US5620615A (en) * 1994-05-13 1997-04-15 Micron Technology, Inc. Method of etching or removing W and WSix films
US5496762A (en) * 1994-06-02 1996-03-05 Micron Semiconductor, Inc. Highly resistive structures for integrated circuits and method of manufacturing the same
US5609775A (en) * 1995-03-17 1997-03-11 Chartered Semiconductor Manufacturing Pte Ltd. Dry etch process for titanium-tungsten films

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107695040A (zh) * 2017-10-20 2018-02-16 大族激光科技产业集团股份有限公司 激光清洗系统及方法

Also Published As

Publication number Publication date
US5980768A (en) 1999-11-09
EP0976151A1 (en) 2000-02-02
WO1998039798A1 (en) 1998-09-11
JP2001514800A (ja) 2001-09-11
KR20000075984A (ko) 2000-12-26

Similar Documents

Publication Publication Date Title
KR100523365B1 (ko) 플라즈마 반응기에서 포토레지스트 마스크 결함을 제거하는 방법
US6004884A (en) Methods and apparatus for etching semiconductor wafers
US6090717A (en) High density plasma etching of metallization layer using chlorine and nitrogen
Armacost et al. Plasma-etching processes for ULSI semiconductor circuits
US6541164B1 (en) Method for etching an anti-reflective coating
US6322714B1 (en) Process for etching silicon-containing material on substrates
US5772906A (en) Mechanism for uniform etching by minimizing effects of etch rate loading
US5827437A (en) Multi-step metallization etch
US5883007A (en) Methods and apparatuses for improving photoresist selectivity and reducing etch rate loading
KR100535961B1 (ko) 플라즈마에 의해 유도되는 차징 결함을 감소시키는 방법
US5849641A (en) Methods and apparatus for etching a conductive layer to improve yield
US7947605B2 (en) Post ion implant photoresist strip using a pattern fill and method
US5952244A (en) Methods for reducing etch rate loading while etching through a titanium nitride anti-reflective layer and an aluminum-based metallization layer
US6017825A (en) Etch rate loading improvement
US6756315B1 (en) Method of forming contact openings
US20030153193A1 (en) Etching method
US5904862A (en) Methods for etching borophosphosilicate glass
JPH10256260A (ja) 高融点金属系材料層を有するゲート電極の形成方法、及び高融点金属系材料層を有するゲート電極を備えた半導体装置の製造方法
EP1166342A1 (en) Etch and ash photoresist removal process
US20060084276A1 (en) Methods for surface treatment and structure formed therefrom
JPH11251292A (ja) ハロゲン含有ガスによる処理方法及びその装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120926

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20130930

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20140925

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20150925

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20160928

Year of fee payment: 12

EXPY Expiration of term