JP7234205B2 - 基板をパターニングする方法及びフォトレジスト層を増強し、かつ、向上する方法 - Google Patents

基板をパターニングする方法及びフォトレジスト層を増強し、かつ、向上する方法 Download PDF

Info

Publication number
JP7234205B2
JP7234205B2 JP2020504370A JP2020504370A JP7234205B2 JP 7234205 B2 JP7234205 B2 JP 7234205B2 JP 2020504370 A JP2020504370 A JP 2020504370A JP 2020504370 A JP2020504370 A JP 2020504370A JP 7234205 B2 JP7234205 B2 JP 7234205B2
Authority
JP
Japan
Prior art keywords
photoresist layer
euv
ion implantation
implanted species
underlayer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2020504370A
Other languages
English (en)
Other versions
JP2020531890A5 (ja
JP2020531890A (ja
Inventor
マ トリスタン
ダイ ユイション
ルノー アンソニー
ハウタラ ジョン
オルソン ジョセフ
Original Assignee
ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド filed Critical ヴァリアン セミコンダクター イクイップメント アソシエイツ インコーポレイテッド
Publication of JP2020531890A publication Critical patent/JP2020531890A/ja
Publication of JP2020531890A5 publication Critical patent/JP2020531890A5/ja
Application granted granted Critical
Publication of JP7234205B2 publication Critical patent/JP7234205B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/72Repair or correction of mask defects
    • G03F1/74Repair or correction of mask defects by charged particle beam [CPB], e.g. focused ion beam
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • G03F7/203Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure comprising an imagewise exposure to electromagnetic radiation or corpuscular radiation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Materials For Photolithography (AREA)
  • Theoretical Computer Science (AREA)
  • Computational Mathematics (AREA)
  • Computing Systems (AREA)
  • Mathematical Analysis (AREA)
  • Mathematical Optimization (AREA)
  • Pure & Applied Mathematics (AREA)
  • General Engineering & Computer Science (AREA)

Description

優先権主張
本出願は、「イオン注入によるEUVフォトレジストの性能向上」と題する、平成29年10月18日に出願された米国特許出願第15/786,806号に対して優先権の利益を主張し、平成29年8月18日に出願された米国仮特許出願第62/547,418号に対して優先権を主張する。
本発明は、一般に、デバイスを製造するための技術に関し、より詳細には、基板をパターニングするためのフォトレジストを改善するための技術に関する。
化学増幅フォトレジスト(CAR)を含む既知のフォトレジスト材料は、極端紫外線(EUV)リソグラフィにおける用途のための多くの課題に直面する。より高い解像度および/またはより低いラインエッジおよびライン幅粗さ(LER/LWR)を達成するために、CAR材料は、高いEUVドーズ量を必要とし、この高いドーズ量は、EUV電力の高いコストを考えると不経済であり得る。例えば、EUV電力の最大96%は、EUV照射が露光される基材に到達する前に、EUVシステムの光学系で失われる。過去数年間、金属酸化物フォトレジストおよび金属含有フォトレジストなどの代替フォトレジストシステムが探索されてきた。そのような代替フォトレジストシステムによって提供される利点は、入射EUV光子の吸収の増加、ならびにより良好なエッチング選択性を含み、より薄いフォトレジスト層が基板のパターニングに使用されることを可能にする。
また、既知の金属酸化物または金属ベースのフォトレジストアーキテクチャの使用にはいくつかの制限がある。1つの制限は、金属酸化物ナノ粒子をフォトレジストマトリックスに組み込むフォトレジスト材料の有限寿命である。許容可能な保存寿命を提供するために、これらのフォトレジスト材料は、ナノ粒子懸濁液を安定化させるために安定剤を使用することができ、コストを増大させ、フォトレジスト材料の感度を低下させる。
さらに、ナノメートルの長さスケールでフォトレジスト内にナノ粒子懸濁液を分配し、許容可能な程度の均一性を維持することは、特に困難であり得る。フォトレジスト配合に使用することができる増感剤の多くは、また、ソフトベーク操作中に容易に昇華し、さらなる不均一性をもたらす。この不均一性は、限界寸法(CD)を制御することの困難性と同様に、LWRを制御することの困難性につながり得る。
金属ベースのフォトレジストは、高度に耐エッチング性であるため、金属ベースのフォトレジストは、従来のCAR材料よりも基板をパターニングするためのより薄い層として、例えば~15nmの薄さで適用されてもよい。より薄い層は、パターン崩壊の影響を受けにくいという利点を与えるが、フォトレジスト体積をより小さくし、したがって吸収される光子をより少なくすることも必要とする。したがって、より多くのノイズおよびより悪いLER/LWRが、そのような薄いフォトレジストから生じる可能性がある。層の厚さを増加させると、「T-トッピング」構造を有するパターン化されたフォトレジスト特徴が生成される危険性があり、この場合、フォトレジスト特徴は、パターン化および現像後に断面が「T」形状を示すことがある。すなわち、フォトンの利用可能性は、金属増感剤による強い吸収のために、フォトレジストの厚さの関数として減衰する。フォトレジスト特徴の上部での光子密度がより大きいため、およびほとんどの既存の金属ベースのフォトレジストはネガティブトーンであるため、この光子減衰は、「T」または逆ピラミッドに似たフォトレジストプロファイルをもたらす。ネガティブトーンフォトレジストは、一般に、小ピッチ解像度を改善するためにより良好であるが、この利点はトッピング問題によって相殺される。
金属酸化物粒子または金属粒子を含有するフォトレジストの使用に関する別の問題は、金属水素化物が、スキャナ内で使用されるフォトレジストと水素との間の反応のために、EUVリソグラフィツールのスキャナ内で形成される可能性があることである。金属水素化物は、EUVミラー表面上に堆積し、光学系の寿命を短縮する可能性がある。
これらおよび他の考察に関して、本実施形態が提供される。
一実施形態において、基板をパターニングする方法は、前記基板上にブランケット・フォトレジスト層を設けるステップと、前記ブランケット・フォトレジスト層への注入種のイオン注入手順を実行するステップであって、前記注入種は、極端紫外線(EUV)範囲の波長での増強された吸収効率を有する、ステップと、を含んでもよい。前記方法は、さらに、前記イオン注入手順の実行に続いて、前記ブランケット・フォトレジスト層をEUV照射に露光するためにパターン化された露光を実行するステップを含んでもよい。
別の実施形態において、フォトレジスト層を増強する方法は、ブランケット・フォトレジスト層として前記フォトレジスト層を基板上に設けるステップと、前記ブランケット・フォトレジスト層をパターン化する前に、前記ブランケット・フォトレジスト層に注入種のイオン注入手順を実施するステップと、を含んでもよく、前記注入種は、極端紫外線(EUV)範囲の波長での増強された吸収効率を有し、前記増強された吸収効率は、2×106 cm2/molより大きい。
追加の実施形態において、フォトレジスト層のパターニングを向上する方法は、基板上に下層を設けるステップを含んでもよい。前記方法は、注入種のイオン注入手順を前記下層に実施するステップであって、前記注入種は、極端紫外線(EUV)範囲の波長での増強された吸収効率を有し、前記増強された吸収効率は、2×106 cm2/molより大きい、ステップを含んでもよい。前記下層上にブランケット・フォトレジスト層として前記フォトレジスト層を設けるステップと、EUV放射の露光によって前記ブランケット・フォトレジスト層をパターニングするステップと、も含んでもよい。
本発明の実施形態による例示的プロセスフローを示す。 原子番号の関数としてEUV吸収の一般的な傾向を示すグラフを示す。 異なるイオン入射角の関数として、0.5keVのSn注入に対するスパッタリング収率およびRpのシミュレーション結果を示すグラフを示す。 本発明の実施形態によるフォトレジスト層の深さの関数としてのEUV光子の光子プロファイルおよび注入種の注入プロファイルを例示する。 EUV露光によりパターニングされた後のフォトレジスト構造を例示する。 図6A~6Cは、本発明の他の実施形態による、工程に含まれる操作を例示する。
図面は、必ずしも一定の縮尺ではない。図面は、単なる表現であり、本発明の特定のパラメータを描写することを意図するものではない。図面は、本発明の典型的な実施形態を示すことを意図しており、したがって、発明の範囲を限定するものとみなされるべきではない。図面において、同様の番号は同様の要素を表す。
以下に本発明の実施形態を示す添付図面につき、本発明による材料および方法をより十分に説明する。本発明の方法および材料は、多くの異なる形式で実現することができ、また、本明細書に記載の実施形態に限定されるものと解すべきではない。その代わり、これら実施形態は、本明細書が徹底的かつ完全なものとなるよう、また本発明のシステム及び方法を当業者に伝えるよう提示されるものである。
本明細書に使用されるように、単数形で「a」又は「an」の不定冠詞が前置される記述される要素又は操作は、このような排他を明示しない限り、複数の要素又は操作を含むものと理解すべきである。さらに、本発明の「一実施形態(one embodiment)」は、限定的であるものとしては意図しない。他の実施形態も、記載された特徴を組み入れることができる。
様々な実施形態では、極端紫外線リソグラフィを強化するためなど、リソグラフィを強化するための方法および材料が提供される。いくつかの実施形態では、改善されたフォトレジストは、EUVスキャナにおけるパターニングが実行される前に、フォトレジストのEUV感度を高めるためにイオン注入手順を実行することによって提供される。
特に、イオン注入手順は、フォトレジストが露光される基板上にブランケット・フォトレジスト層として提供される場合など、フォトレジストの使用点(POU)におけるフォトレジストのEUV感度を高める注入種を導入することができる。
図1は、本発明の実施形態によるプロセスフロー100を示す。ステップ102において、ブランケット・フォトレジスト塗布が行われて、基板上にブランケット・フォトレジスト層が形成される。ブランケット・フォトレジストは、既知の組成を有するEUVフォトレジストとすることができる。特定の厚さに限定されないが、いくつかの実施形態では、ブランケットEUVフォトレジスト層は、10nm~100nm、15nm~100nm、特定の実施形態では50nm未満または30nm未満の厚さを有することができる。より薄いEUVフォトレジスト層は、50nm未満、25nm未満、いくつかの例では15nm未満の臨界寸法を有するEUVフォトレジスト層内に特徴を印刷するのに特に適切であり得る。特に、ブランケットEUVフォトレジスト層は、均一な組成を有することができ、金属酸化物粒子または金属粒子などの添加物を含まないことができ、そのような粒子添加物の使用から生じる問題は、上で詳述した。
ステップ104において、ブランケット・フォトレジスト層のソフトベークが層を処理するための既知の手法に従って実行することができる。
ステップ106では、使用点イオン注入手順が実行され、これは、ブランケットEUVフォトレジスト層をパターニングするために基板がEUV露光に露光される直前に注入手順が実行されることを意味する。EUV感度を高める注入種が、使用時点イオン注入手順でブランケットEUVフォトレジスト層に注入される。以下で詳述するように、注入種は、いくつかの異なる種のうちの1つであってもよく、イオンエネルギー(注入エネルギー)およびイオンドーズ量は、後続するEUVリソグラフィ露光プロセスを強化するために、層の厚さに従って調整されるか、またはブランケットEUVフォトレジスト層の他の特徴に合わせて調整される。特に、注入種は、極端紫外線(EUV)範囲の波長、例えば13.5nm又はその近傍で高い吸収効率を示すことができる。
ステップ108では、注入種を含むブランケット・フォトレジスト層のリソグラフィ露光が、EUV照射を使用して実行される。露光は、公知のEUVリソグラフィ技術に従ってパターニングを行うことができる。注入種によってもたらされるEUV感度の増強によって、ターゲットリソグラフィ試験結果を達成するためのEUV露光の持続時間は、従来のEUVフォトレジスト材料の使用と比較して短縮することができる。
ステップ110では、公知の方法に従って露光後ベークを実行することができ、ブロック112では、ナノメートルスケールのフォトレジスト特徴などの所望の特徴を生成するためにフォトレジスト現像を実行することができる。
特定の実施形態では、上述の使用時注入操作で使用される注入種は、複数の有用な特性を示すことができる。一例として、注入種は、ブランケット・フォトレジスト材料におけるEUV照射の吸収を高めることができる。別の実施形態では、注入種は、イオン源、プラズマ、または他のイオン注入源での使用に適した材料を構成することができる。別の実施形態では、注入種は、比較的安全であるか、または無毒であり得る。
特定の実施形態では、スズ(Sn)は、EUV吸収を高めるための注入種として使用することができる。Snによって提供される利点は、既知のEUVフォトレジスト材料の主要な構成要素と比較して、EUV照射の吸収断面積が非常に大きいことである。ここで図2を参照すると、原子番号(Z)の関数としてEUV吸収の一般的な傾向を示すグラフが示されている。図示のように、領域200は、炭素、酸素、窒素、及び水素を含むEUVフォトレジストの主要構成要素である元素を含む。周期律表のこの範囲では、EUV吸収は約2×106 cm2/mol未満である。これに対し、図2に示すように、Snの吸光度は約1.4×107cm2/molである。したがって、注入種Snによって示されるEUV吸収のこの大きな増強は、レジスト化学式、レジスト濃度、最初のレジスト厚、目標とする感度の増強、T型トッピングを形成する傾向などの多くの要因に応じて、5×1014~1×1017イオン/cm2の適切なドーズ量で注入した後に、ブランケットEUVフォトレジスト層にはるかに大きなEUV感度を与えることができる。
様々な実施形態では、Snを注入するためのイオンエネルギーは、数百eV~数kVの範囲とすることができ、正確なエネルギーは、注入されるブランケットEUVフォトレジスト層の厚さに合わせて調整することができる。前述のように、いくつかの例では、ブランケットEUVフォトレジスト層のこの厚さは、10nm~50nmの範囲であってもよい。Snイオンを注入するための適切なイオンエネルギーおよびイオンドーズ量を選択することによって、EUVフォトレジスト層の材料を過度に損傷させることなく、EUV感度の大幅な増強をEUVフォトレジスト層に与えることができる。
以下に示される表1は、例示的な注入種としてSnを使用し、Sn、C、O、およびHを含有する代用基板を使用して、トリミングシミュレーションによって生成された、エネルギー、照射範囲、およびスパッタリング収率を列挙する。これらの条件下では、スパッタリング収率は十分に低く、Snイオンを注入することによる再スパッタリングによるEUVフォトレジスト層の層厚の減少は、重要な考慮事項ではない。全イオン侵透深さ(注入深さ)は、イオン範囲(Rpまたは照射範囲の約2倍であり、酸化スズ、酸化ハフニウム、または他の酸化物材料を含むフォトレジストなどの今日の金属酸化物フォトレジストの厚さに匹敵する約20nmである。様々な実施形態では、注入されるこのブランケット・フォトレジストは、普通の従来のCAR、または金属成分を含まない金属酸化物フォトレジスト、または通常の金属酸化物フォトレジストで作ることができる。このようにして、注入後のフォトレジストは、従来のフォトレジストに対して改善された感光性を示すことができ、または金属酸化物フォトレジストに対してさらに改善された感光性を示すことができ、また、不均一な増感剤プロファイルを介して低減または排除されたTトッピングを示すことができる。
Figure 0007234205000001
図3は、垂直入射に関して、異なるイオン入射角の関数として、0.5keVのSn注入に対するスパッタリング収率およびRpのシミュレーション結果を示すグラフである。スパッタリング収率およびRpは、この例では、30°未満の入射角では大きく変化しない。低角度でのイオン入射角に対するこの不感受性は、いくつかの意味合いを有する。1つには、低入射角での特性の不感受性は、Sn注入が様々な異なる装置で容易に実行され得ることを意味する。例えば、ビームライン注入装置は、Sn注入のために使用されてもよく、入射角は、垂直入射を含み、容易に調整されてもよい。別の実施形態では、プラズマから抽出されるイオンの入射角が、注入される基板に対してほぼ垂直である場合に、プラズマ浸漬システムを使用することができる。隣接するチャンバ内の基板にイオンビームを向けるために抽出開口面を有するプラズマチャンバを使用するシステムでは、少なくともいくつかのイオンが、法線に対して低い入射角、例えば30度未満を形成する軌跡を有するプラズマチャンバから出てくることができる。したがって、これらの「抽出開口面」システムは、Sn注入にさらに適切であり得る。
図3の結果のさらなる意味合いは、注入範囲を調整するために、入射角を中間角度に調整することができることである。例えば、45度の入射では、Rpの値は、垂直入射に対して約30%だけ6nmの値に減少する。Rpのこの減少は、より薄いフォトレジスト層内にSnを収容するのに有用であり得るが、下にある基板に浸透しないため、全注入範囲はRpの約2倍であり得ることを考慮しておく。例えば、図3によれば、500 eVでは、垂直入射でSnを注入するEUVフォトレジストの全範囲は16nmと見積もることができ、45度では、全範囲は12nmと見積もることができる。したがって、厚さ12nmのEUVフォトレジスト層は、下にある基板に浸透しない間に、45度で500 eVのSnイオンで注入されてもよく、一方、垂直入射で注入された厚さ12nmのEUVフォトレジスト層は、イオンの一定の部分が下にある基板に浸透することを停止することができない場合がある。Rpは、イオンエネルギーを減少させることによっても減少させることができるが、場合によっては、低エネルギーのためにイオン源またはプラズマ源から引き出すことができるイオン電流の量、または低エネルギーのイオンビームを基板に適切に向ける能力など、実際的な考慮事項は、より低いエネルギーの使用を排除することができる。
特に、45度でのスパッタリング収率は、0度(垂直入射)でのものよりも大きいが、スパッタリング収率は、なお、Sn注入のためにそのような角度を使用するように、許容可能なほど低くてもよい。
様々な追加の実施形態では、注入種は、室温の気体種であってもよい。一実施形態では、Xeは、ブランケットEUVフォトレジスト層に注入するための注入種として使用することができる。他の適切な注入種は、In、Sb、およびIを含む。実施形態は、この文脈において限定されない。EUV範囲(約13.5nm)の波長において増強した吸収効率を示すいずれの要素も、注入種に適している可能性があり、ここで、「増強した吸収効率」は、H、C、N、またはOのEUV吸収断面積よりも大きく、1.5×106cm2/molを超えるEUV吸収断面積を示す可能性がある。他の適切な元素の例には、I、Te、In、およびSbが含まれる。特に、25~35および65~75の範囲の原子番号を有する少なくともいくつかの元素も、EUV増強剤としての使用に適していることがある。実施形態は、この文脈において限定されない。
図2に戻ると、グラフはXeも示しており、約1.6×107 cm2/molの高吸収断面積を示している。希ガス元素として、Xeは、他のツールの中でも、ビームラインイオン注入装置、プラズマ浸漬装置、又は抽出開口を有するコンパクトなプラズマ源装置において容易に使用することができる。Xeは希ガスであるので、Xeは、粒子分散、ブレンディング、または他の液体または固体の方法などの方法によってフォトレジスト層に容易に組み込むことができない。本実施形態において、Xeは、単原子イオンとして注入することにより、フォトレジスト中に容易に分散させることができる。このように、増強されたEUV吸収(Xe)を提供する元素は、少なくともナノメートルレベルまでフォトレジスト中に均一に分散されてもよく、その結果、既知の手法による金属酸化物または金属粒子の分散によっては達成できない。同じことが、上述のSnの注入にも当てはまる。
様々な追加の実施形態では、ブランケットEUVフォトレジストへの注入は、EUV露光の性質を考慮に入れるように注入プロファイルを調整するために実行することができる。図4は、フォトレジスト層の深さの関数としてのEUV光子の光子プロファイル220を例示する。明らかなように、光子の強度は、外面からの深さが増すにつれて、ほぼ指数関数的に減少する。この強度の減少は、フォトレジスト層の表面からより深い位置にあるフォトレジスト層の部分が、より少ない光子露光を受けることができることを意味する。ネガティブトーンフォトレジストの場合、この現象は、図5に示すように、EUVパターニング操作に露光した後に、パターニングされたレジスト構造230の生成をもたらすことがある。パターニングされたレジスト構造230は、プロファイルで示され、基板234により近いパターニングされたレジスト構造230の部分は、元のブランケット・フォトレジスト層の表面からより深い。従って、表面により近い元のフォトレジスト層の部分は、より多くの露光を受け、その結果、図示のように、オーバーハング部分232が生じる。
様々な実施形態によれば、イオン注入方策または工程は、フォトレジスト表面から除去された位置により高濃度の注入種を配置することによって、図4に示されるような光子減衰を補償するように調整される。一例として、注入プロファイル222は、注入種をホストするフォトレジストマトリックスと比較して、Sn種、Xe種、または増強したEUV吸収を示す他の種の注入プロファイルを表す。図示のように、注入プロファイル222は、フォトレジスト表面の下、約12nmにピークを示す。このようにして、12nmの深さに達するEUV光子の吸収の相対量が増強され、光子プロファイル220によって示されるような光子の一般的な減少を少なくとも部分的に補償する。
特定の実施形態では、Sn又はXeなどの注入種の複数のイオン注入をブランケット・フォトレジスト層に行うことができる。複数の注入を使用して、不均一な深さプロファイルであり、フォトレジスト層の深さの関数として光子減衰をより効果的に補償するように機能する複合注入プロファイルを生成することができる。図4は、注入プロファイル224として示される浅い注入と、注入プロファイル226として示される深い注入とを示す。注入プロファイル222と共に、これらの注入プロファイルは、図4の例における25nm厚のフォトレジスト層のようなフォトレジスト層における深さの関数として、より均一な光子吸収プロファイルを生成するように作用することができる。その結果、パターニングされたレジスト構造230の矩形部分によって示されるように、EUV照射への露光後に、パターニングされたレジスト構造のより均一なプロファイルを形成することができる。
本発明のさらなる実施形態では、フォトレジスト層に直接注入することによってEUV吸収効率が増強された種を組み込むのではなく、フォトレジスト層がその上に堆積される前に、種を下層に注入することができる。図6A、図6B、および図6Cは、EUV吸収効率が増強された種、すなわち注入種254の注入が、フォトレジスト層252の堆積前に下層250に向けられるシナリオを示す。具体的には、注入種254のイオンエネルギーは、下層250の上面付近の上部領域に注入するように調整することができ、下層250は、EUV工程で使用される既知の下層材料を表すことができる。例示的なイオンエネルギーは、数百eV~2000eVの範囲とすることができる。したがって、下層250の上面付近の上部領域は、いくつかの実施形態では、約25nm以下、または15nm以下の深さを表すことができる。フォトレジスト層252は、既知の手法(図6B)におけるように適用され得る。EUV照射256にさらされると、下層250とフォトレジスト層252との間の界面に沿った注入種254の追加は、追加の光電子258(図6C)を生成し、上述のように、フォトレジスト層252の上面からの増加する深さの関数として生じるEUV光子減衰を相殺するのを助ける。この構成はまた、Tトッピング、フォトレジスト特徴上の基盤の外観、ならびにラインエッジ粗さおよびライン幅粗さを最小限に抑えるのに役立つ。
本実施形態によって提供される利点は、EUV感度が増強したフォトレジスト層を、使用の時点で、言い換えれば、リソグラフィパターニング動作が実行される直前に、例えば、5時間以内、数時間以内、または数分以内でさえ生成することができることである。この使用時点能力は、容器などのバルク様式で保管されたときに、金属酸化物粒子が沈殿し、凝集し、再分配し、または他の方法でレジストと反応する可能性がある、既知の金属酸化物レジストで起こり得る不均一性またはエージングに関する問題を回避する。別の利点は、種が単原子イオンとして注入され得るので、フォトレジスト層内の原子レベルでSnまたはXeなどのEUV高感度種を均一に分散させる機能である。この利点は、フォトレジスト層の全厚が20nm以下である用途に特に有用である。
本発明は、本明細書に記載された特定の実施形態によって範囲を限定されるものではない。実際に、本明細書に記載された実施形態に加えて、本発明の他の様々な実施形態および変更は、前述の記載および添付図面から当業者には明らかであろう。したがって、このような他の実施形態および変更は、本発明の範囲内に含まれるものと意図している。さらに、本発明は、特定の環境における特定の目的のための特定の実装の文脈にて本明細書中で説明したけれども、当業者は、本実施形態の有用性はそれらに限定されるものでなく、本発明は任意の数の環境における任意の数の目的のために有益に実装し得ることを認識するであろう。従って、以下に記載する特許請求の範囲は本明細書に記載された本発明の全範囲及び精神に鑑みて解釈しなければならない。

Claims (12)

  1. 基板をパターニングする方法であって、該方法は、
    基板上に下層を設けるステップと、
    注入種のイオン注入手順を前記下層に実施するステップであって、前記注入種は、極端紫外線(EUV)範囲の波長での増強された吸収効率を有し、前記注入種は、前記下層の上面付近の上部領域に注入される、ステップと、
    前記イオン注入手順の実行に続いて、前記下層上にブランケット・フォトレジスト層を設けるステップと、
    前記イオン注入手順の実行に続いて、前記ブランケット・フォトレジスト層をEUV照射に露光するためにパターン化された露光を実行するステップと、を有し、
    前記パターン化された露光は、前記イオン注入手順に続いて5時間未満で実行される、方法。
  2. 前記注入種は、XeまたはSnである、請求項1に記載の方法。
  3. 前記イオン注入手順を実行するステップは、前記ブランケット・フォトレジスト層の外面の下の深さの関数として、前記注入種の濃度にピークを生成するステップを有する、請求項1に記載の方法。
  4. 前記注入種は、室温の気体種を含む、請求項1に記載の方法。
  5. 前記ブランケット・フォトレジスト層の外面の下の深さの関数としての前記注入種の濃度は、少なくとも5nmの深さまで増加する、請求項1に記載の方法。
  6. 前記イオン注入手順は、前記ブランケット・フォトレジスト層に複数のイオン注入を行って、不均一な深さプロファイルを生成するステップを有する、請求項1に記載の方法。
  7. 前記EUV範囲の波長が13.5nmである、請求項1に記載の方法。
  8. フォトレジスト層を増強する方法であって、該方法は、
    基板上に下層を設けるステップと、
    注入種のイオン注入手順を前記下層に実施するステップであって、前記注入種は、極端紫外線(EUV)範囲の波長での増強された吸収効率を有し、前記増強された吸収効率は、2×106cm2/molより大きく、前記注入種は、前記下層の上面付近の上部領域に注入されるステップと、
    前記イオン注入手順の実行に続いて、ブランケット・フォトレジスト層として前記フォトレジスト層を前記下層上に塗布するステップと、を有し、
    前記注入種の注入エネルギーが1000 eV未満であり、前記注入種の入射角が、前記基板の平面に対する法線に対して30度より大きい、方法。
  9. 前記イオン注入手順は、複数のイオン注入手順を含み、注入深さは、前記複数のイオン注入手順の間で変化する、請求項8に記載の方法。
  10. 前記複数のイオン注入手順は、前記ブランケット・フォトレジスト層内の深さの関数として、前記注入種の不均一な深さプロファイルを生成し、前記注入種の濃度は、前記ブランケット・フォトレジスト層内の深さの関数として増加する、請求項9に記載の方法。
  11. 前記ブランケット・フォトレジスト層が、金属酸化物粒子を含有する金属酸化物フォトレジストを含む、請求項8に記載の方法。
  12. フォトレジスト層のパターニングを向上する方法であって、該方法は、
    基板上に下層を設けるステップと、
    注入種のイオン注入手順を前記下層に実施するステップであって、前記注入種は、極端紫外線(EUV)範囲の波長での増強された吸収効率を有し、前記増強された吸収効率は、2×106cm2/molより大きく、前記注入種は、前記下層の上面付近の上部領域に注入される、ステップと、
    前記イオン注入手順の実行に続いて、前記下層上にブランケット・フォトレジスト層として前記フォトレジスト層を塗布するステップと、
    EUV放射の露光によって前記ブランケット・フォトレジスト層をパターニングするステップと、を有する方法。
JP2020504370A 2017-08-18 2018-08-17 基板をパターニングする方法及びフォトレジスト層を増強し、かつ、向上する方法 Active JP7234205B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762547418P 2017-08-18 2017-08-18
US62/547,418 2017-08-18
US15/786,806 2017-10-18
US15/786,806 US10545408B2 (en) 2017-08-18 2017-10-18 Performance improvement of EUV photoresist by ion implantation
PCT/US2018/046866 WO2019036582A1 (en) 2017-08-18 2018-08-17 ENHANCING PERFORMANCE OF EUV PHOTOSENSITIVE RESIN BY ION IMPLANTATION

Publications (3)

Publication Number Publication Date
JP2020531890A JP2020531890A (ja) 2020-11-05
JP2020531890A5 JP2020531890A5 (ja) 2021-05-20
JP7234205B2 true JP7234205B2 (ja) 2023-03-07

Family

ID=65361430

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020504370A Active JP7234205B2 (ja) 2017-08-18 2018-08-17 基板をパターニングする方法及びフォトレジスト層を増強し、かつ、向上する方法

Country Status (6)

Country Link
US (2) US10545408B2 (ja)
JP (1) JP7234205B2 (ja)
KR (1) KR102564263B1 (ja)
CN (1) CN110945431A (ja)
TW (1) TWI716718B (ja)
WO (1) WO2019036582A1 (ja)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10845704B2 (en) * 2018-10-30 2020-11-24 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet photolithography method with infiltration for enhanced sensitivity and etch resistance
JP2022542170A (ja) * 2019-08-01 2022-09-29 アプライド マテリアルズ インコーポレイテッド パターニングされた金属酸化物フォトレジストの線量減少

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102903649A (zh) 2011-07-28 2013-01-30 中芯国际集成电路制造(上海)有限公司 一种选择离子注入的光刻胶厚度方法
JP2013135066A (ja) 2011-12-26 2013-07-08 Toshiba Corp パターン形成方法
WO2015178464A1 (ja) 2014-05-21 2015-11-26 国立大学法人大阪大学 レジストパターン形成方法、レジスト潜像形成装置およびレジスト材料
JP2016035582A (ja) 2013-02-20 2016-03-17 国立大学法人大阪大学 レジスト材料
US20170168398A1 (en) 2015-12-10 2017-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Photosensitive material and method of lithography

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5839779A (ja) * 1981-09-01 1983-03-08 Matsushita Electric Ind Co Ltd 写真蝕刻方法
JPH03228306A (ja) * 1990-02-02 1991-10-09 Nec Corp 微細パターンの形成方法
JPH05129217A (ja) * 1991-11-05 1993-05-25 Kawasaki Steel Corp 半導体装置の製造方法
JPH08102532A (ja) * 1994-09-30 1996-04-16 Nippondenso Co Ltd イオン注入基板の製造方法
US5858623A (en) * 1997-04-07 1999-01-12 Taiwan Semiconductor Manufacturing Company Method for attenuating photoresist layer outgassing
US8637340B2 (en) 2004-11-30 2014-01-28 Solexel, Inc. Patterning of silicon oxide layers using pulsed laser ablation
US7122837B2 (en) * 2005-01-11 2006-10-17 Apollo Diamond, Inc Structures formed in diamond
US8178287B2 (en) * 2006-09-08 2012-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist composition and method of forming a resist pattern
US7951662B2 (en) * 2008-07-20 2011-05-31 United Microelectronics Corp. Method of fabricating strained silicon transistor
US8436328B2 (en) 2008-12-16 2013-05-07 Gigaphoton Inc. Extreme ultraviolet light source apparatus
US8912097B2 (en) 2009-08-20 2014-12-16 Varian Semiconductor Equipment Associates, Inc. Method and system for patterning a substrate
TWI570920B (zh) * 2011-01-26 2017-02-11 半導體能源研究所股份有限公司 半導體裝置及其製造方法
US20160064239A1 (en) 2014-08-28 2016-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method for Integrated Circuit Patterning
KR20160114511A (ko) * 2015-03-24 2016-10-05 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치의 제작 방법
US9921480B2 (en) 2016-02-10 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd Extreme ultraviolet photoresist

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102903649A (zh) 2011-07-28 2013-01-30 中芯国际集成电路制造(上海)有限公司 一种选择离子注入的光刻胶厚度方法
JP2013135066A (ja) 2011-12-26 2013-07-08 Toshiba Corp パターン形成方法
JP2016035582A (ja) 2013-02-20 2016-03-17 国立大学法人大阪大学 レジスト材料
WO2015178464A1 (ja) 2014-05-21 2015-11-26 国立大学法人大阪大学 レジストパターン形成方法、レジスト潜像形成装置およびレジスト材料
US20170168398A1 (en) 2015-12-10 2017-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Photosensitive material and method of lithography

Also Published As

Publication number Publication date
WO2019036582A1 (en) 2019-02-21
TWI716718B (zh) 2021-01-21
JP2020531890A (ja) 2020-11-05
US20200096870A1 (en) 2020-03-26
TW201921431A (zh) 2019-06-01
US20190056914A1 (en) 2019-02-21
CN110945431A (zh) 2020-03-31
US10990014B2 (en) 2021-04-27
US10545408B2 (en) 2020-01-28
KR102564263B1 (ko) 2023-08-10
KR20200033941A (ko) 2020-03-30

Similar Documents

Publication Publication Date Title
US10670967B2 (en) Resist patterning method, latent resist image forming device, resist patterning device, and resist material
US10658180B1 (en) EUV pattern transfer with ion implantation and reduced impact of resist residue
CN104698737B (zh) 光掩模坯料和制造方法、光掩模、光图案曝光方法和过渡金属/硅基材料膜的设计方法
JP7234205B2 (ja) 基板をパターニングする方法及びフォトレジスト層を増強し、かつ、向上する方法
TWI261880B (en) Photolithography method to prevent photoresist pattern collapse
TW201222640A (en) Method and system for modifying patterned photoresist using multi-step ion implantion
Peterson et al. Low‐voltage electron beam lithography
JP2008175959A (ja) フォトマスク製造方法、及び半導体装置の製造方法
Saito et al. X‐ray lithography with a Ag‐Se/Ge‐Se inorganic resist using synchrotron radiation
JP2020531890A5 (ja) 基板をパターニングする方法及びフォトレジスト層を増強し、かつ、向上する方法
JP4951981B2 (ja) インプリント用モールド及びその製造方法
Drouin et al. Method for fabricating submicron silicide structures on silicon using a resistless electron beam lithography process
RU2477902C1 (ru) Способ формирования проводников в наноструктурах
JPH03174724A (ja) パターン形成方法
JP2006245462A (ja) 転写マスクの製造方法
RU2404479C1 (ru) Способ формирования проводящей структуры в диэлектрической матрице
DE102021110173A1 (de) In-situ-abscheidung und verdichtungsbehandlung für metalhaltige resistschicht
KR100792385B1 (ko) 나노팁전자방출원, 그의 제조 방법 및 그를 구비한 나노팁리소그래피 장치
JP2010286728A (ja) 反射型マスクおよびその製造方法
JPS6376438A (ja) パタ−ン形成方法
KR102617884B1 (ko) 리소그래피 마스크용 반사층의 제조 방법
San Kim et al. Atomic layer etching of Sn by surface modification with H and Cl radicals
RU2700231C1 (ru) Способ формирования трехмерных структур топологических элементов функциональных слоев на поверхности подложек
Vutova et al. Computer simulation of micro-and nano-structures at electron and ion lithography
Stepanenko et al. Photochemical etching of the ZnSe surface with chlorine

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210412

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210412

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220119

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220201

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220425

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20220906

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221013

C60 Trial request (containing other claim documents, opposition documents)

Free format text: JAPANESE INTERMEDIATE CODE: C60

Effective date: 20221013

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20221101

C21 Notice of transfer of a case for reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C21

Effective date: 20221108

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230124

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230222

R150 Certificate of patent or registration of utility model

Ref document number: 7234205

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150