KR20200033941A - 이온 주입에 의한 euv 포토레지스트의 성능 개선 - Google Patents

이온 주입에 의한 euv 포토레지스트의 성능 개선 Download PDF

Info

Publication number
KR20200033941A
KR20200033941A KR1020207005833A KR20207005833A KR20200033941A KR 20200033941 A KR20200033941 A KR 20200033941A KR 1020207005833 A KR1020207005833 A KR 1020207005833A KR 20207005833 A KR20207005833 A KR 20207005833A KR 20200033941 A KR20200033941 A KR 20200033941A
Authority
KR
South Korea
Prior art keywords
photoresist layer
euv
species
blanket
photoresist
Prior art date
Application number
KR1020207005833A
Other languages
English (en)
Other versions
KR102564263B1 (ko
Inventor
트리스탄 마
후이시옹 다이
앤서니 레나우
존 하우탈라
조셉 올슨
Original Assignee
베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. filed Critical 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크.
Publication of KR20200033941A publication Critical patent/KR20200033941A/ko
Application granted granted Critical
Publication of KR102564263B1 publication Critical patent/KR102564263B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/38Treatment before imagewise removal, e.g. prebaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/72Repair or correction of mask defects
    • G03F1/74Repair or correction of mask defects by charged particle beam [CPB], e.g. focused ion beam
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0042Photosensitive materials with inorganic or organometallic light-sensitive compounds not otherwise provided for, e.g. inorganic resists
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/168Finishing the coated layer, e.g. drying, baking, soaking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2002Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image
    • G03F7/2004Exposure; Apparatus therefor with visible light or UV light, through an original having an opaque pattern on a transparent support, e.g. film printing, projection printing; by reflection of visible or UV light from an original such as a printed image characterised by the use of a particular light source, e.g. fluorescent lamps or deep UV light
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2022Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure
    • G03F7/203Multi-step exposure, e.g. hybrid; backside exposure; blanket exposure, e.g. for image reversal; edge exposure, e.g. for edge bead removal; corrective exposure comprising an imagewise exposure to electromagnetic radiation or corpuscular radiation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70008Production of exposure light, i.e. light sources
    • G03F7/70033Production of exposure light, i.e. light sources by plasma extreme ultraviolet [EUV] sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Theoretical Computer Science (AREA)
  • Materials For Photolithography (AREA)
  • Computational Mathematics (AREA)
  • Mathematical Optimization (AREA)
  • Pure & Applied Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • Mathematical Analysis (AREA)
  • Computing Systems (AREA)

Abstract

기판을 패턴화하는 방법은, 기판 상에 블랭킷(blanket) 포토레지스트 층을 제공하는 단계; 블랭킷 포토레지스트 층 내로의 주입 종의 이온 주입 절차를 수행하는 단계로서, 주입 종은 극 자외선(extreme ultraviolet; EUV) 범위 내의 파장에서 향상된 흡수 효율을 포함하는, 단계; 및 이온 주입 절차를 수행하는 단계 이후에, 상기 블랭킷 포토레지스트 층을 EUV 방사에 노출시키기 위해 패턴화된 노출을 수행하는 단계를 포함할 수 있다.

Description

이온 주입에 의한 EUV 포토레지스트의 성능 개선
관련 출원들
본 출원은, "Performance Improvement of EUV Photoresist by Ion Implantation"이라는 명칭으로 2017년 10월 18일자로 출원된 미국 특허 출원 제15/786,806호에 대한 우선권의 이익을 주장하고 및 2017년 08월 18일자로 출원된 미국 가특허 출원 제62/547,418호에 대한 우선권을 주장하며, 이러한 출원들은 본원에 그 전체가 참조로서 통합된다.
기술분야
본 개시는 전반적으로 디바이스들을 제조하기 위한 기술들에 관한 것으로서, 더 구체적으로는, 기판들을 패턴화하기 위한 포토레지스트(photoresist)를 개선하기 위한 기술들에 관한 것이다.
화학 증폭 포토레지스트(chemically amplified photoresist; CAR)들을 포함하는 알려진 포토레지스트 재료들은 극 자외선(Extreme Ultraviolet; EUV) 리소그래피(lithography)에서의 애플리케이션에 대해 다수의 도전들에 직면한다. 더 높은 분해능(resolution) 및/또는 더 낮은 라인-에지 및 라인-폭 거칠기(line-edge and line-width roughness; LER/LWR)를 달성하기 위하여, CAR 재료들은 높은 EUV 도우즈(dose)를 필요로 하며, 이러한 높은 도우즈(dose)는 EUV 파워의 높은 비용을 고려하면 비경제적일 수 있다. 예를 들어, EVU 파워의 최대 96%가, EUV 방사가 노출될 기판에 도달하기 이전에 EUV 시스템의 광학부에서 손실된다. 지난 몇 년 동안, 금속-산화물 포토레지스트 및 금속-함유 포토레지스트와 같은 대안적인 포토레지스트 시스템이 연구되어 왔다. 이러한 대안적인 포토레지스트 시스템들에 의해 제공되는 장점들은 입사 EUV 광자들의 흡수뿐만 아니라 더 양호한 에칭 선택성을 포함하며, 이는 더 얇은 포토레지스트 층들이 기판의 패턴화를 위해 사용되는 것을 가능하게 한다.
공지된 금속-산화물 또는 금속-기반 포토레지스트 아키텍처의 사용에 대한 몇몇 제한들이 또한 존재한다. 하나의 제한은, 금속-산화물 나노입자들을 포토레지스트 매트릭스 내로 통합하는 포토레지스트 재료들의 유한한 수명이다. 용인가능한 저장 수명(shelf life)을 제공하기 위하여, 이러한 포토레지스트 재료들은 나노입자 현택액을 안정화하기 위해 안정제를 이용할 수 있으며, 이는 포토레지스트 재료의 비용을 추가하고 감도를 감소시킨다.
또한, 나노미터 길이 스케일로 포토레지스트 내에서 나노입자 현탁액을 분산시키고 이의 용인가능한 정도의 균일성을 유지하는 것이 특히 도전일 수 있다. 포토레지스트 제형에서 사용될 수 있는 증감제(sensitizer)들 중 다수가 또한 소프트 베이크(soft bake) 동작 동안 쉽게 승화하며, 이는 추가적인 비-균일성을 야기한다. 이러한 불균질성은 LWR를 제어하는데 있어서의 어려움뿐만 아니라 임계 치수(critical dimension; CD)를 제어하는데 있어서의 어려움을 야기할 수 있다.
금속-기반 포토레지스트들은 고도로 에칭-저항성이기 때문에, 금속-기반 포토레지스트들은, 예를 들어, ~15nm와 같이 얇은, 통상적인 CAR 재료들보다 더 얇은 층들로서 기판의 패턴화를 위해 적용될 수 있다. 더 얇은 필름은, 패턴 붕괴에 덜 민감한 이점을 제공하지만, 또한 더 작은 포토레지스트 체적을 수반하며 그에 따라서 더 적은 광자들이 흡수된다. 따라서, 이러한 얇은 포토레지스트들로부터 더 많은 잡음 및 더 열악한 LER/LWR이 발생할 수 있다. 필름 두께를 증가시키는 것은 "T-토핑(T-topping)" 구조를 갖는 패턴화된 포토레지스트 특징부를 생성할 위험성이 있으며, 여기에서 포토레지스트 특징부는 패턴화 및 현상 이후에 "T" 형상의 단면을 나타낼 수 있다. 즉, 광자 이용가능성은, 금속 증감제들에 의한 강력한 흡수에 기인하여 포토레지스트의 두께의 함수로서 감쇠한다. 포토레지스트 특징부의 상단에서의 더 큰 광자 밀도때문에, 그리고 대부분의 기존 금속-기반 포토레지스트들이 네거티브 톤들이기 때문에, 이러한 광자 감쇠는 "T" 또는 역 피라미드와 유사한 포토레지스트 프로파일을 야기한다. 네거티브 톤의 포토레지스트들이 일반적으로 작은 피치 분해능을 개선하는데 더 양호하지만, 이러한 장점은 T-토핑 문제에 의해 상쇄된다.
금속 산화물 입자들 또는 금속 입자들을 함유하는 포토레지스트의 이용과 관련된 다른 이슈는, 스캐너에서 사용되는 수소와 포토레지스트 사이의 반응에 기인하여 금속 수소화물들이 EUV 리소그래픽 툴의 스캐너에서 형성될 수 있다는 점이다. 금속 수소화물들은 EUV 미러 표면들 상에 부착될 수 있으며, 이는 광학부 수명을 감소시킨다.
이러한 그리고 다른 고려사항들에 관하여, 본 실시예들이 제공된다.
일 실시예에 있어서, 기판을 패턴화하는 방법은, 기판 상에 블랭킷(blanket) 포토레지스트 층을 제공하는 단계; 블랭킷 포토레지스트 층 내로의 주입 종의 이온 주입 절차를 수행하는 단계로서, 주입 종은 극 자외선(extreme ultraviolet; EUV) 범위 내의 파장에서 향상된 흡수 효율을 포함하는, 단계를 포함할 수 있다. 방법은, 이온 주입 절차를 수행하는 단계에 후속하여, 블랭킷 포토레지스트 층을 EUV 방사에 노출시키기 위해 패턴화된 노출을 수행하는 단계를 더 포함할 수 있다.
다른 실시예에 있어서, 프토레지스트 층을 향상시키는 방법은, 기판 상에 블랭킷 포토레지스트 층으로서 포토레지스트 층을 적용하는 단계; 및 블랭킹 포토레지스트 층을 패턴화하기 이전에, 블랭킹 포토레지스트 층 내로 주입 종의 이온 주입 절차를 수행하는 단계로서, 주입 종은 극 자외선(EUV) 범위 내의 파장에서 향상된 흡수 효율을 포함하며, 향상된 흡수 효율은 2 x 106 cm2/mol보다 더 큰, 단계를 포함할 수 있다.
추가적인 실시예에 있어서, 포토레지스트 층의 개선된 패턴화 방법은, 기판 상에 하층(underlayer)을 제공하는 단계를 포함할 수 있다. 방법은, 하층 내로 주입 종의 이온 주입 절차를 수행하는 단계로서, 주입 종은 극 자외선(EUV) 범위 내의 파장에서 향상된 흡수 효율을 포함하며, 향상된 흡수 효율은 2 x 106 cm2/mol보다 더 큰, 단계를 포함할 수 있다. 방법은 또한 하층 상에 블랭킷 포토레지스트 층으로서 포토레지스트 층을 적용하는 단계; 및 EUV 방사에 대한 노출에 의해 블랭킷 포토레지스트 층을 패턴화하는 단계를 포함할 수 있다.
도 1은 본 개시의 실시예들에 따른 예시적인 프로세스 흐름을 도시한다.
도 2는 원자 번호의 함수로서 EUV 흡수에서의 일반적인 경향들을 도시하는 그래프이다.
도 3은 상이한 이온 입사 각도들의 함수로서 0.5keV Sn 주입에 대한 Rp 및 스퍼터링(sputtering) 수율의 시뮬레이션 결과들을 도시하는 그래프를 나타낸다.
도 4는 본 개시의 실시예들에 따른 포토레지스트 층 내의 깊이의 함수로서 주입 종의 주입 프로파일들 및 EUV 광자들의 광자 프로파일을 예시한다.
도 5는 EUV 노출에 의한 패턴화 이후의 포토레지스트 구조체를 예시한다.
도 6a 내지 도 6c는 본 개시의 다른 실시예들에 따른 프로세스에 수반되는 동작들을 예시한다.
도면들이 반드시 축적이 맞춰져야 하는 것은 아니다. 도면들은 단지 표현들이며, 본 개시의 특정 파라미터들을 표현하도록 의도되지 않는다. 도면들은 본 개시의 전형적인 실시예들을 묘사하도록 의도되며, 따라서 범위를 제한하는 것으로서 간주되지 않아야 한다. 도면들 내에서, 유사한 번호들이 유사한 엘리먼트들을 나타낸다.
본 개시에 따른 재료 및 방법이 이제 이하에서 실시예들이 도시된 첨부된 도면들을 참조하여 더 완전하게 설명될 것이다. 방법 및 재료들은 다수의 상이한 형태들로 구현될 수 있으며, 본원에서 기술되는 실시예들에 한정되는 것으로 해석되지 않아야 한다. 오히려, 이러한 실시예들은 본 개시가 완전하고 철저해질 수 있도록 제공되며, 시스템 및 방법의 범위를 당업자들에게 완전하게 전달할 것이다.
본원에서 사용될 때, 단수로 언급되고 및 단어 "일" 또는 "하나"가 선행되는 엘리먼트 또는 동작은 이러한 배제가 명백하게 언급되지 않는 한 복수의 엘리먼트들 또는 동작들을 포함하는 것으로 이해되어야만 한다. 또한, 본 개시의 "일 실시예"에 대한 언급들은 제한적으로 의도되지 않는다. 추가적인 실시예들이 또한 나열된 특징들을 통합할 수 있다.
다양한 실시예들에 있어서, 극 자외선 리소그래피를 향상시키기 위한 것과 같은 리소그래피를 향상시키기 위한 방법들 및 재료가 제공된다. 일부 실시예들에 있어서, EUV 스캐너에서 패턴화가 수행되기 이전에 포토레지스트의 EUV 감도를 향상시키기 위한 이온 주입 절차를 수행함으로써 개선된 포토레지스트가 제공된다.
특히, 이온 주입 절차는, 예컨대, 포토레지스트가 노출될 기판 상에 블랭킷 포토레지스트 층으로서 제공될 때, 포토레지스트의 사용-시점(point-of-use; POU)에서 포토레지스트의 EUV 감도를 향상시키는 주입 종을 도입할 수 있다.
도 1은 본 개시의 실시예들에 따른 프로세스 흐름(100)을 도시한다. 블록(102)에서, 기판 상에 블랭킷 포토레지스트 층을 형성하기 위해 블랭킷 포토레지스트 적용이 수행된다. 블랭킷 포토레지스트는 알려진 조성을 갖는 EUV 포토레지스트일 수 있다. 특정 두께에 한정되는 것은 아니지만, 일부 실시예들에 있어서, 블랭킷 EUV 포토레지스트 층은, 10 nm 내지 100 nm, 15 nm 내지 100 nm, 그리고 특정 실시예들에서는 50 nm미만 또는 30 nm미만의 두께를 가질 수 있다. 더 얇은 EUV 포토레지스트 층은 50 nm미만, 25 nm미만, 그리고 일부 예들에 있어서는 15 nm미만의 임계 치수들을 갖는 EUV 포토레지스트 층 내에 특징부들을 프린트하는데 특히 적절할 수 있다. 특히, 블랭킷 EUV 포토레지스트 층은 균일한 조성을 가질 수 있으며, 금속 산화물 입자들 또는 금속 입자들과 같은 첨가물들을 포함하지 않을 수 있고, 여기에서 이러한 입자 첨가물들의 사용으로부터 기인하는 문제점들이 이상에서 상세화되었다.
블록(104)에서, 블랭킷 포토레지스트 층의 소프트 베이크가 수행된다. 소프트 베이크는, EUV 노출 이전에, 포토레지스트 층들, 특히 EUV 포토레지스트 층들을 프로세싱하기 위한 알려진 기술들에 따라 수행될 수 있다.
블록(106)에서, 사용-시점 이온 주입 절차가 수행되며, 이는 주입 절차가, 블랭킷 EUV 포토레지스트 층을 패턴화하기 위해 기판이 EUV 노출에 노출되기 직전에 수행된다는 것을 의미한다. EUV 감도를 향상시키는 주입 종이 사용-시점 이온 주입 절차에서 블랭킷 EUV 포토레지스트 층 내로 주입된다. 이하에서 상세화되는 바와 같이, 주입 종은 다수의 상이한 종들 중 하나일 수 있으며, 여기에서 그 다음의 EUV 리소그래피 노출 프로세스를 향상시키기 위하여 이온 에너지(주입 에너지) 및 이온 도우즈는 층 두께에 따라 조절되거나 또는 블랭킷 EUV 포토레지스트 층의 다른 특징들에 따라 조절된다. 특히, 주입 종은 극 자외선(EUV) 범위 내의 파장에서, 예컨대 13.5 nm에서 또는 그 근처에서 높은 흡수 효율을 나타낼 수 있다.
블록(108)에서, 주입 종을 포함하는 블랭킷 포토레지스트 층의 리소그래피 노출이 EUV 방사를 사용하여 수행된다. 노출은 알려진 EUV 리소그래피 기술들에 따라 패턴화를 수행할 수 있다. 주입 종에 의해 제공되는 향상된 EUV 감도에 의하여, 목표 리소그래피 결과를 달성하기 위한 EUV 노출의 지속기간은 통상적인 EUV 포토레지스트 재료들의 사용에 비하여 감소될 수 있다.
블록(110)에서 노출 후 베이크가 알려진 프로세스들에 따라 수행될 수 있으며, 반면 블록(112)에서 나노미터-스케일 포토레지스트 특징부들과 같은 희망되는 특징부들을 생성하기 위하여 포토레지스트 현상(development)이 수행될 수 있다.
특정 실시예들에 있어서, 이상에서 설명된 사용-시점 주입 동작에서 사용되는 주입 종은 다수의 유용한 속성들을 나타낼 수 잇다. 우선 한 가지는, 주입 종은 블랭킷 프토레지스트 재료 내의 EUV 방사의 흡수를 향상시킬 수 있다. 다른 예로서, 주입 종은 이온 소스, 플라즈마, 또는 이온들을 주입하는 다른 소스에서 사용하기에 적절한 재료를 구성할 수 있다. 다른 예로서, 주입 종은 상대적으로 안전하거나 또는 무독성일 수 있다.
특정 실시예들에 있어서, 주석(Sn)이 EUV 흡수를 향상시키기 위한 주입 종으로서 이용될 수 있다. Sn에 의해 제공되는 장점은 알려진 EUV 포토레지스트 재료들의 주요 구성 원소들에 비하여 EUV 방사에 대한 매우 큰 흡수 단면이다. 이제 도 2를 참조하면, 원자 번호(Z)의 함수로서 EUV 흡수에서의 일반적인 경향들을 도시하는 그래프가 도시된다. 예시된 바와 같이, 영역(200)은, 탄소, 산소, 질소, 및 수소를 포함하는, EUV 포토레지스트의 주요 구성 성분들인 원소들을 포함한다. 주기율표의 이러한 영역에서, EUV 흡수는 대략 2 x 106 cm2/mol보다 더 작다. 그에 반해서, 도 2에 도시된 바와 같이, Sn의 흡수는 대략 1.4 x 107 cm2/mol이다. 따라서 주입 종 Sn에 의해 보여지는 EUV 흡수에서의 이러한 큰 향상은, 레지스트(resist) 제형, 레지스트 밀도, 초기 레지스트 두께, 목표된 감도 개선, T-토핑을 형성하는 경향, 등과 같은 다수의 인자들에 의존하여, 5e14 내지 1e17 이온/cm2의 범위 내의 적절한 도우즈를 이용한 주입 이후에, 블랭킷 EUV 포토레지스트 층에 훨씬 더 큰 EUV 감도를 부여할 수 있다.
다양한 실시예들에 있어서, Sn을 주입하기 위한 이온 에너지는 수 백 eV로부터 수 kV까지의 범위일 수 있으며, 여기에서 정확한 에너지는 주입되는 블랭킷 EUV 포토레지스트 층의 두께에 따라 조절될 수 있다. 이상에서 언급된 바와 같이, 일부 예들에 있어서, 블랭킷 EUV 포토레지스트 층의 두께는 10 nm 내지 50 nm의 범위 내에 있을 수 있다. Sn 이온들을 주입하기 위한 적절한 이온 에너지 및 이온 도우즈를 선택함으로써, EUV 포토레지스트 층의 과도한 손상 없이 EUV 감도에서의 큰 향상이 EUV 포토레지스트 층 내에 부여될 수 있다.
이하에 도시된 표 1은, Sn, C, O, 및 H를 함유하는 대용 기판을 사용하여, 그리고 예시적인 주입 종으로서 Sn을 사용하여 TRIM 시뮬레이션들에 의해 생성되는 에너지, 투사 범위, 및 스퍼터링 수율을 열거한다. 이러한 조건들 하에서, 스퍼터링 수율이 상당히 낮아서, Sn 이온들을 주입하는 것에 의한 재스퍼터링에 기인하는 EUV 포토레지스트 층의 층 두께의 감소는 주요 고려사항이 아니다. 투사된(projected) 범위 또는 Rp의 대략 2배인 총 이온 침투 깊이(주입 깊이)는 대략 20nm이며, 이는 주석 산화물, 하프늄 산화물, 또는 다른 산화물 재료를 함유하는 포토레지스트들과 같은 현재의 금속 산화물 포토레지스트들의 두께에 비할만 하다. 다양한 실시예들에 있어서, 주입될 이러한 블랭킷 포토레지스트는 평이한 통상적인 CAR, 또는 금속 성분들이 없는 금속 산화물 포토레지스트, 또는 표준 금속 산화물 포토레지스트로 만들어질 수 있다. 이러한 방식으로, 주입 이후의 포토레지스트는 통상적인 포토레지스트들에 비하여 개선된 감광성을 나타낼 수 있거나, 또는 금속 산화물 포토레지스트들에 비하여 추가로 개선된 감광성을 보일 수 있으며, 또한 비-균일 증감제 프로파일을 통한 감소된 또는 제거된 T-토핑을 보여줄 수 있다.
[표 1]
Figure pct00001
도 3은, 수직 입사에 대하여, 상이한 이온 입사 각도들의 함수로서 0.5keV Sn 주입에 대한 Rp 및 스퍼터링 수율의 시뮬레이션 결과들을 도시하는 그래프를 나타낸다. 스퍼터링 수율 및 Rp는 이러한 예에서 30° 이하의 입사 각도들에서 크게 변화하지 않는다. 낮은 각도들에서의 이온 입사 각도를 향한 둔감성은 몇몇 의미들을 갖는다. 우선, 낮은 입사 각도에서의 속성들의 둔감성은, Sn 주입이 다양하고 상이한 장치들에서 용이하게 수행될 수 있음을 의미한다. 예를 들어, 빔라인 주입기들이 Sn 주입을 위해 사용될 수 있으며, 여기에서 입사 각도는 직각 입사를 포함하여 용이하게 조정될 수 있다. 다른 예를 들어, 플라즈마 침지 시스템들이 사용될 수 있으며, 여기에서 플라즈마로부터 추출되는 이온들의 입사 각도는 주입되는 기판들에 대하여 대략 직각일 수 있다. 이온 빔을 인접한 챔버 내의 기판으로 보내기 위한 추출 개구를 갖는 플라즈마 챔버들을 이용하는 시스템들에 있어서, 적어도 일부 이온들은, 예컨대 30 도 이하와 같은 수직에 대하여 낮은 입사 각도를 갖는 궤적들을 가지고 플라즈마 챔버로부터 나올 수 있다. 따라서, 이러한 "추출 개구" 시스템들이 추가적으로 Sn 주입에 대하여 적절할 수 있다.
도 3의 결과들의 추가적인 의미는, 입사 각도가 주입 범위를 조정하기 위하여 중간 각도들로 튜닝(tune)될 수 있다는 점이다. 예를 들어, 45도 입사에서, Rp의 값은 수직 입사에 비하여 6 nm의 값으로 대략 30%만큼 감소된다. Rp의 이러한 감소는, 총 주입 범위가 대략적으로 Rp의 2배일 수 있음을 염두에 두면, 아래의 기판 내로 침투하지 않으면서 더 얇은 포토레지스트 층들 내에 Sn를 수용하기 위해 유용할 수 있다. 예를 들어, 도 3에 따르면, 500 eV에서 수직 입사로 Sn을 주입하는 EUV 포토레지스트 내의 총 범위는 16 nm로 추정될 수 있으며, 반면 45도에서 총 범위는 12 nm로 추정될 수 있다. 따라서, 12 nm 두께의 EUV 포토레지스트 층이 아래의 기판까지 침투하지 않으면서 45 도에서 500 eV Sn 이온들을 가지고 주입될 수 있으며, 반면 수직 입사로 주입된 12 nm 두께의 EUV 포토레지스트 층은 이온들의 특정 분율이 아래의 기판 내로 침투하는 것을 중지시키는데 실패할 수 있다. 이온 에너지를 감소시킴으로써 Rp가 또한 감소될 수 있지만, 반면 일부 경우들에 있어서, 낮은 에너지들에 대하여 이온 소스 또는 플라즈마 소스로부터 추출가능한 이온 전류의 양 또는 낮은 에너지의 이온 빔을 기판으로 적절히 보내기 위한 능력이 더 낮은 에너지들의 사용을 방해할 수 있다.
특히, 45도에서의 스퍼터링 수율이 0도(수직 입사)에서보다 더 클 수 있지만, 반면 스퍼터링 수율은 Sn 주입에 대하여 이러한 각도를 이용하기 위하여 여전히 용인가능할 정도로 낮을 수 있다.
다양한 추가적인 실시예들에 있어서, 주입 종은 실온에서 가스상 종일 수 있다. 특정 실시예들에 있어서, Xe이 블랭킷 EUV 포토레지스트 층 내로 주입하기 위한 주입 종으로서 이용될 수 있다. 다른 적절한 주입 종은 In, Sb, 및 I를 포함한다. 실시예들이 이러한 맥락으로 제한되지 않는다. EUV 범위 내의 파장(대략 13.5 nm)에서 향상된 흡수 효율을 보여주는 임의의 원소(들)가 주입 종에 대하여 적절할 수 있으며, 여기에서 "향상된 흡수 효율"은, H, C, N, 또는 O에 대한 EUV 흡수 단면들보다 더 큰 EUV 흡수 단면을 나타낼 수 있으며, 이는 1.5 x 106 cm2/mol보다 더 크다는 것을 의미한다. 다른 적절한 원소들의 예들은 I, Te, In, 및 Sb를 포함한다. 특히,25-25 및 65-75의 범위 내의 원자 번호를 갖는 적어도 일부 원소들이 또한 EUV 향상제들로서 사용하기에 적절할 수 있다. 실시예들이 이러한 맥락으로 제한되지 않는다.
다시 도 2를 참조하면, 그래프는 또한 대략 1.6 x 107 cm2/mol의 높은 흡수 단면을 보여주는 Xe를 나타낸다. 비활성 가스 원소로서, Xe는, 다른 툴들 중에서도 특히, 추출 개구를 갖는 빔라인 이온 주입기, 플라즈마 침지 장치, 또는 컴팩트 플라즈마 소스 장치 내에서 용이하게 이용될 수 있다. Xe이 비활성 가스이기 때문에, Xe는 입자 분산, 블렌딩, 또는 다른 액체 또는 고체-상태 방법들과 같은 방법들에 의해 포토레지스트 층들 내에 용이하게 통합될 수 없다. 본 실시예들에 있어서, Xe는 단분자 이온들로서 주입에 의해 포토레지스트 내로 용이하게 분산될 수 있다. 이러한 방식으로, 향상된 EUV 흡수를 제공하는 원소(Xe)는 적어도 나노미터 레벨까지 포토레지스트 내로 균일하게 분산될 수 있으며, 이는 알려진 기술들에 따른 금속 산화물 또는 금속 입자들의 분산에 의해 달성될 수 없는 결과이다. 동일한 내용이 이상에서 논의된 Sn의 주입에 적용된다.
다양한 추가적인 실시예들에 있어서, 블랭킷 EUV 포토레지스트 내로의 주입은 EUV 노출의 성질을 고려하기 위해 주입 프로파일을 조절하도록 수행될 수 있다. 도 4는 포토레지스트 층 내의 깊이의 함수로서 EUV 광자들의 광자 프로파일(220)을 예시한다. 명백한 바와 같이, 광자들의 강도는 전반적으로 외부 표면으로부터의 깊이가 증가함에 따라 지수적인 방식으로 감소한다. 강도에서의 이러한 감소는, 포토레지스트 층의 표면으로부터 더 큰 깊이들에 위치된 포토레지스트 층의 부분들이 더 적은 광자 노출을 받아들일 수 있음을 의미한다. 네거티브 톤의 포토레지스트의 경우에 있어서, 이러한 현상은, 도 5에 도시된 바와 같이, EUV 패턴화 동작에 대한 노출 이후에 패턴화된 레지스트 구조체(230)의 생성을 야기할 수 있다. 패턴화된 레지스트 구조체(230)가 프로파일로 도시되며, 여기에서 기판(234)에 더 가까운 패턴화된 레지스트 구조체(230)의 부분들은 원래의 블랭킷 포토레지스트 층의 표면으로부터 더 깊다. 따라서, 표면에 더 가까운 원래의 포토레지스트 층은 더 많은 광자 노출을 받아들이며, 이는, 도시된 바와 같이, 돌출 부분들(232)을 야기한다.
다양한 실시예들에 따르면, 이온 주입 레시피 또는 프로세스는, 포토레지스트 표면으로부터 제거되는 위치들에서 주입 종의 더 높은 농도를 위치시킴으로써, 도 4에서 보여지는 바와 같은, 광자 감쇠를 보상하기 위해 조정된다. 일 예로서, 주입 프로파일(222)은 Sn 종, Xe 종, 또는 주입 종을 호스팅(host)하는 포토레지스트 매트릭스에 비하여 향상된 EUV 흡수를 나타내는 다른 종에 대한 주입 프로파일을 나타낸다. 도시된 바와 같이, 주입 프로파일(222)은 포토레지스트 표면 아래의 약 12 nm에서 피크를 나타낸다. 이러한 방식으로, 12 nm의 깊이에 도달하는 EUV 광자들의 흡수의 상대적인 양이 향상되며, 적어도 부분적으로 광자 프로파일(220)에 의해 표시되는 바와 같은 광자들에서의 일반적인 감소를 보상한다.
특정 실시예들에 있어서, 블랭킷 포토레지스트 층 내로의 Sn 또는 Xe와 같은 주입 종의 다수의 이온 주입들이 수행될 수 있다. 다수의 주입들은, 포토레지스트 층 내의 깊이의 함수로서 광자 감쇠를 더 효율적으로 보상하도록 기능하는, 비-균일 깊이 프로파일인 복합 주입 프로파일을 생성하기 위해 사용될 수 있다. 도 4는, 주입 프로파일(224)로서 도시된 얕은 주입 및 주입 프로파일(226)로서 도시된 깊은 주입을 보여준다. 주입 프로파일(222)과 함께, 이러한 주입 프로파일들은, 도 4의 예에서 25 nm 두께의 포토레지스트 층과 같은, 포토레지스트 층 내의 깊이의 함수로서 더 균일한 광자 흡수 프로파일을 생성하도록 작용할 수 있다. 결과적으로, 패턴화된 레지스트 구조체(230)의 직사각형 부분에 의해 보여지는 바와 같이, 패턴화된 레지스트 구조체의 더 균일한 프로파일이 EUV 방사에 대한 노출 이후에 형성될 수 있다.
본 개시의 추가적인 실시예들에 있어서, 포토레지스트 층 내로 직접적으로 주입함으로써 향상된 EUV 흡수 효율을 갖는 종을 통합하는 대신에, 종은, 포토레지스트 층이 그 위에 부착되기 이전에 하층 내로 주입될 수 있다. 도 6a, 도 6b 및 도 6c는, 향상된 EUV 흡수 효율을 위한 종, 즉, 주입 종(254)의 주입이, 포토레지스트 층(252)의 부착 이전에, 하층(250)으로 향해지는 시나리오를 도시한다. 특히, 주입 종(254)의 이온 에너지는 하층(250)의 상단 표면 근처의 상단 영역 내로 주입하기 위해 튜닝될 수 있으며, 여기에서 하층(250)은 EUV 프로세스에서 사용되는 알려진 하층 재료를 나타낼 수 있다. 예시적인 이온 에너지들은 수 백 eV 내지 2000 eV의 범위일 수 있다. 따라서, 하층(250)의 상단 표면 근처의 상단 영역은, 일부 실시예들에 있어서, 대략 25 nm 이하, 또는 15 nm 이하의 깊이를 나타낼 수 있다. 포토레지스트 층(252)은 알려진 기술들에서와 같이 적용된다(도 6b). EUV 방사를 겪을 때, 하층(250)과 포토레지스트 층(252) 사이의 계면을 따른 주입 종(254)의 추가는, 이상에서 논의된 바와 같이, 포토레지스트 층(252)의 상단 표면으로부터의 증가하는 깊이의 함수로서 발생하는 EUV 광자 감쇠를 상쇄시키는 것을 돕기 위한 추가적인 광전자들(258)을 생성한다(도 6c). 이러한 배열은 또한, T-토핑, 포토레지스트 특징부 상의 푸팅(footing)의 외관을 최소화하는 것을 도우며, 뿐만 아니라 라인 에지 거칠기 및 라인폭 거칠기를 최소화하는 것을 돕는다.
본 실시예들에 의해 제공되는 장점은, 바로 사용 시점에, 다시 말해서, 리소그래피 패턴화 동작이 수행되기 직전에, 예컨대, 5 시간 내에, 몇 시간 내에, 또는 심지어 몇 분 내에 향상된 EUV 감도를 갖는 포토레지스트 층을 생성하기 위한 능력이다. 이러한 사용-시점 성능은, 컨테이너와 같이 벌크 방식으로 저장될 때, 금속 산화물 입자들이 안착(settle)하거나, 응집하거나, 재분배되거나 또는 달리 레지스트와 반응할 수 있는, 알려진 금속 산화물 레지스트들에서 발생할 수 있는 노화 또는 비-균일성의 문제를 회피한다. 다른 장점은, 종이 단분자 이온들로서 주입될 수 있음에 따라, 포토레지스트 층 내에서 원자 레벨로 Sn 또는 Xe와 같은 EUV-민감 종을 균일하게 분산시키기 위한 능력이다. 이러한 장점은, 포토레지스트 층의 총 두께가 20 nm 이하인 애플리케이션들에 대하여 특히 유용할 수 있다.
본 개시는 본원에서 설명된 특정 실시예에 의해 범위가 제한되지 않는다. 오히려, 본원에서 설명된 실시예들에 더하여, 본 개시의 다른 다양한 실시예들 및 이에 대한 수정예들이 이상의 설명 및 첨부된 도면들로부터 당업자들에게 자명해질 것이다. 따라서, 이러한 다른 실시예들 및 수정예들이 본 개시의 범위 내에 속하도록 의도된다. 추가로, 본 개시가 본원에서 특정 목적을 위한 특정 환경에서의 특정 구현예의 맥락에서 설명되었지만, 당업자들은 본 실시예들의 유용함이 이에 한정되지 않으며, 본 실시예들이 임의의 수의 목적들을 위한 임의의 수의 환경들에서 유익하게 구현될 수 있다는 것을 인식할 것이다. 따라서, 이하에서 기술되는 청구항들은 본원에서 설명된 바와 같은 본 개시의 완전한 폭의 관점에서 해석되어야만 한다.

Claims (15)

  1. 기판을 패턴화하는 방법으로서,
    상기 기판 상에 블랭킷(blanket) 포토레지스트 층을 제공하는 단계;
    상기 블랭킷 포토레지스트 층 내로의 주입 종의 이온 주입 절차를 수행하는 단계로서, 상기 주입 종은 극 자외선(extreme ultraviolet; EUV) 범위 내의 파장에서 향상된 흡수 효율을 포함하는, 단계; 및
    상기 이온 주입 절차를 수행하는 단계 이후에, 상기 블랭킷 포토레지스트 층을 EUV 방사에 노출시키기 위해 패턴화된 노출을 수행하는 단계를 포함하는, 방법.
  2. 청구항 1에 있어서,
    상기 주입 종은 Xe 또는 Sn인, 방법.
  3. 청구항 1에 있어서,
    상기 이온 주입 절차를 수행하는 단계는, 상기 블랭킷 포토레지스트 층의 외부 표면 아래의 깊이의 함수로서 상기 주입 종의 농도에서 피크(peak)를 생성하는 단계를 포함하는, 방법.
  4. 청구항 1에 있어서,
    상기 주입 종은 실온에서 가스상 종을 포함하는, 방법.
  5. 청구항 1에 있어서,
    상기 블랭킷 포토레지스트 층의 외부 표면 아래의 깊이의 함수로서 상기 주입 종의 농도는 적어도 5 nm의 깊이까지 증가하는, 방법.
  6. 청구항 1에 있어서,
    상기 이온 주입 절차는 비-균일 깊이 프로파일을 생성하기 위하여 상기 블랭킷 포토레지스트 층 내로의 다수의 이온 주입들을 수행하는 단계를 포함하는, 방법.
  7. 청구항 1에 있어서,
    상기 패턴화된 노출은 상기 이온 주입 이후에 5 시간 이내에 수행되는, 방법.
  8. 청구항 1에 있어서,
    상기 EUV 범위 내의 상기 파장은 13.5 nm인, 방법.
  9. 포토레지스트 층을 향상시키는 방법으로서,
    기판 상에 블랭킷 포토레지스트 층으로서 상기 포토레지스트 층을 적용하는 단계; 및
    상기 블랭킷 포토레지스트 층을 패턴화하는 단계 이전에, 상기 블랭킷 포토레지스트 층 내로의 주입 종의 이온 주입 절차를 수행하는 단계로서, 상기 주입 종은 극 자외선(EUV) 범위 내의 파장에서 향상된 흡수 효율을 포함하며, 상기 향상된 흡수 효율은 2 x 106 cm2/mol보다 더 큰, 단계를 포함하는, 방법.
  10. 청구항 9에 있어서,
    상기 이온 주입 절차는 복수의 이온 주입 절차들을 포함하며, 주입 깊이는 상기 복수의 주입 절차들 사이에서 변화하는, 방법.
  11. 청구항 10에 있어서,
    상기 복수의 주입 절차들은 상기 블랭킷 포토레지스트 층 내의 깊이의 함수로서 상기 주입 종의 비-균일 깊이 프로파일을 생성하며, 상기 주입 종의 농도는 상기 블랭킷 포토레지스트 층 내의 깊이의 함수로서 증가하는, 방법.
  12. 청구항 9에 있어서,
    상기 주입 종의 주입 에너지는 1000 eV 미만이며, 상기 주입 종의 입사 각도는 상기 기판의 평면에 대한 법선에 대하여 30도 보다 더 큰, 방법.
  13. 청구항 9에 있어서,
    상기 블랭킷 포토레지스트 층은, 금속 산화물 입자들을 함유하는 금속 산화물 포토레지스트인, 방법.
  14. 포토레지스트 층의 개선된 패턴화 방법으로서,
    기판 상에 하층(underlayer)을 제공하는 단계;
    상기 하층 내로 주입 종의 이온 주입 절차를 수행하는 단계로서, 상기 주입 종은 극 자외선(EUV) 범위 내의 파장에서 향상된 흡수 효율을 포함하며, 상기 향상된 흡수 효율은 2 x 106 cm2/mol보다 더 큰, 단계;
    상기 하층 상에 블랭킷 포토레지스트 층으로서 상기 포토레지스트 층을 적용하는 단계; 및
    EUV 방사에 대한 노출에 의해 상기 블랭킷 포토레지스트 층을 패턴화하는 단계를 포함하는, 방법.
  15. 청구항 14에 있어서,
    상기 주입 종은 상기 하층의 상단 표면 근처의 상단 영역 내로 주입되는, 방법.
KR1020207005833A 2017-08-18 2018-08-17 기판을 패턴화하는 방법 및 포토레지스트 층을 향상시키고 개선하는 방법 KR102564263B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762547418P 2017-08-18 2017-08-18
US62/547,418 2017-08-18
US15/786,806 2017-10-18
US15/786,806 US10545408B2 (en) 2017-08-18 2017-10-18 Performance improvement of EUV photoresist by ion implantation
PCT/US2018/046866 WO2019036582A1 (en) 2017-08-18 2018-08-17 ENHANCING PERFORMANCE OF EUV PHOTOSENSITIVE RESIN BY ION IMPLANTATION

Publications (2)

Publication Number Publication Date
KR20200033941A true KR20200033941A (ko) 2020-03-30
KR102564263B1 KR102564263B1 (ko) 2023-08-10

Family

ID=65361430

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020207005833A KR102564263B1 (ko) 2017-08-18 2018-08-17 기판을 패턴화하는 방법 및 포토레지스트 층을 향상시키고 개선하는 방법

Country Status (6)

Country Link
US (2) US10545408B2 (ko)
JP (1) JP7234205B2 (ko)
KR (1) KR102564263B1 (ko)
CN (1) CN110945431A (ko)
TW (1) TWI716718B (ko)
WO (1) WO2019036582A1 (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10845704B2 (en) 2018-10-30 2020-11-24 Taiwan Semiconductor Manufacturing Co., Ltd. Extreme ultraviolet photolithography method with infiltration for enhanced sensitivity and etch resistance
US11550222B2 (en) 2019-08-01 2023-01-10 Applied Materials, Inc. Dose reduction of patterned metal oxide photoresists

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03228306A (ja) * 1990-02-02 1991-10-09 Nec Corp 微細パターンの形成方法
JPH08102532A (ja) * 1994-09-30 1996-04-16 Nippondenso Co Ltd イオン注入基板の製造方法
US5858623A (en) * 1997-04-07 1999-01-12 Taiwan Semiconductor Manufacturing Company Method for attenuating photoresist layer outgassing
JP2013135066A (ja) * 2011-12-26 2013-07-08 Toshiba Corp パターン形成方法
WO2015178464A1 (ja) * 2014-05-21 2015-11-26 国立大学法人大阪大学 レジストパターン形成方法、レジスト潜像形成装置およびレジスト材料
US20170168398A1 (en) * 2015-12-10 2017-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Photosensitive material and method of lithography

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5839779A (ja) * 1981-09-01 1983-03-08 Matsushita Electric Ind Co Ltd 写真蝕刻方法
JPH05129217A (ja) * 1991-11-05 1993-05-25 Kawasaki Steel Corp 半導体装置の製造方法
US8637340B2 (en) 2004-11-30 2014-01-28 Solexel, Inc. Patterning of silicon oxide layers using pulsed laser ablation
US7122837B2 (en) * 2005-01-11 2006-10-17 Apollo Diamond, Inc Structures formed in diamond
US8178287B2 (en) * 2006-09-08 2012-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist composition and method of forming a resist pattern
US7951662B2 (en) * 2008-07-20 2011-05-31 United Microelectronics Corp. Method of fabricating strained silicon transistor
US8436328B2 (en) 2008-12-16 2013-05-07 Gigaphoton Inc. Extreme ultraviolet light source apparatus
US8912097B2 (en) 2009-08-20 2014-12-16 Varian Semiconductor Equipment Associates, Inc. Method and system for patterning a substrate
TWI570920B (zh) * 2011-01-26 2017-02-11 半導體能源研究所股份有限公司 半導體裝置及其製造方法
CN102903649A (zh) * 2011-07-28 2013-01-30 中芯国际集成电路制造(上海)有限公司 一种选择离子注入的光刻胶厚度方法
KR102062966B1 (ko) * 2013-02-20 2020-01-06 오사카 유니버시티 레지스트패턴 형성방법, 레지스트잠상 형성장치, 레지스트패턴 형성장치 및 레지스트재료
US20160064239A1 (en) 2014-08-28 2016-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method for Integrated Circuit Patterning
KR20160114511A (ko) * 2015-03-24 2016-10-05 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치의 제작 방법
US9921480B2 (en) 2016-02-10 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd Extreme ultraviolet photoresist

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03228306A (ja) * 1990-02-02 1991-10-09 Nec Corp 微細パターンの形成方法
JPH08102532A (ja) * 1994-09-30 1996-04-16 Nippondenso Co Ltd イオン注入基板の製造方法
US5858623A (en) * 1997-04-07 1999-01-12 Taiwan Semiconductor Manufacturing Company Method for attenuating photoresist layer outgassing
JP2013135066A (ja) * 2011-12-26 2013-07-08 Toshiba Corp パターン形成方法
WO2015178464A1 (ja) * 2014-05-21 2015-11-26 国立大学法人大阪大学 レジストパターン形成方法、レジスト潜像形成装置およびレジスト材料
US20170168398A1 (en) * 2015-12-10 2017-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Photosensitive material and method of lithography

Also Published As

Publication number Publication date
CN110945431A (zh) 2020-03-31
US10990014B2 (en) 2021-04-27
US20200096870A1 (en) 2020-03-26
WO2019036582A1 (en) 2019-02-21
TW201921431A (zh) 2019-06-01
US10545408B2 (en) 2020-01-28
JP7234205B2 (ja) 2023-03-07
US20190056914A1 (en) 2019-02-21
JP2020531890A (ja) 2020-11-05
KR102564263B1 (ko) 2023-08-10
TWI716718B (zh) 2021-01-21

Similar Documents

Publication Publication Date Title
US10670967B2 (en) Resist patterning method, latent resist image forming device, resist patterning device, and resist material
US8133804B1 (en) Method and system for modifying patterned photoresist using multi-step ion implantation
US7749687B2 (en) Pattern forming method used in semiconductor device manufacturing and method of manufacturing semiconductor device
US20130247824A1 (en) Method and system for modifying photoresist using electromagnetic radiation and ion implantion
KR102456045B1 (ko) 2차 전자 생성 조성물
Peterson et al. Low‐voltage electron beam lithography
KR102564263B1 (ko) 기판을 패턴화하는 방법 및 포토레지스트 층을 향상시키고 개선하는 방법
JP2008175959A (ja) フォトマスク製造方法、及び半導体装置の製造方法
JP3081655B2 (ja) レジストパターンの形成方法
JP2020531890A5 (ja) 基板をパターニングする方法及びフォトレジスト層を増強し、かつ、向上する方法
KR910007315B1 (ko) 레지스트 미세패턴 형성방법
Deguchi et al. Effects of photo-and auger electron scattering on resolution and linewidth control in SR lithography
RU2477902C1 (ru) Способ формирования проводников в наноструктурах
JP2006245462A (ja) 転写マスクの製造方法
US5186788A (en) Fine pattern forming method
RU2404479C1 (ru) Способ формирования проводящей структуры в диэлектрической матрице
KR100955670B1 (ko) 반도체 소자의 포토레지스트 패턴 형성방법
Roy et al. Image noise in helium lithography
JP3293929B2 (ja) 半導体装置の製造方法
JP2000077364A (ja) パターン形成方法
Craver Neutral particle lithography
JPH06101422B2 (ja) レジストパタ−ン形成方法
GB2338588A (en) Method of forming lithographic pattern
US20140120478A1 (en) Techniques for patterning resist
JPS63271924A (ja) 微細パタ−ン形成方法

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant