JP7190245B2 - 垂直窒化ガリウムショットキーダイオード - Google Patents

垂直窒化ガリウムショットキーダイオード Download PDF

Info

Publication number
JP7190245B2
JP7190245B2 JP2019553299A JP2019553299A JP7190245B2 JP 7190245 B2 JP7190245 B2 JP 7190245B2 JP 2019553299 A JP2019553299 A JP 2019553299A JP 2019553299 A JP2019553299 A JP 2019553299A JP 7190245 B2 JP7190245 B2 JP 7190245B2
Authority
JP
Japan
Prior art keywords
layer
epitaxial
gallium nitride
type gallium
nitride layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2019553299A
Other languages
English (en)
Other versions
JP2020512698A (ja
Inventor
オドノブリュードフ,ウラジミール
アクタス,オズギュル
Original Assignee
クロミス,インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by クロミス,インコーポレイテッド filed Critical クロミス,インコーポレイテッド
Publication of JP2020512698A publication Critical patent/JP2020512698A/ja
Application granted granted Critical
Publication of JP7190245B2 publication Critical patent/JP7190245B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66083Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by variation of the electric current supplied or the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched, e.g. two-terminal devices
    • H01L29/66196Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by variation of the electric current supplied or the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched, e.g. two-terminal devices with an active layer made of a group 13/15 material
    • H01L29/66204Diodes
    • H01L29/66212Schottky diodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02387Group 13/15 materials
    • H01L21/02389Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02488Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/02505Layer structure consisting of more than two layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/7806Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices involving the separation of the active layers from a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0607Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration
    • H01L29/0611Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices
    • H01L29/0615Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices by the doping profile or the shape or the arrangement of the PN junction, or with supplementary regions, e.g. junction termination extension [JTE]
    • H01L29/0619Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions for preventing surface leakage or controlling electric field concentration for increasing or controlling the breakdown voltage of reverse biased devices by the doping profile or the shape or the arrangement of the PN junction, or with supplementary regions, e.g. junction termination extension [JTE] with a supplementary region doped oppositely to or in rectifying contact with the semiconductor containing or contacting region, e.g. guard rings with PN or Schottky junction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0661Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body specially adapted for altering the breakdown voltage by removing semiconductor material at, or in the neighbourhood of, a reverse biased junction, e.g. by bevelling, moat etching, depletion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/2003Nitride compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/207Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds further characterised by the doping material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/402Field plates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/86Types of semiconductor device ; Multistep manufacturing processes therefor controllable only by variation of the electric current supplied, or only the electric potential applied, to one or more of the electrodes carrying the current to be rectified, amplified, oscillated or switched
    • H01L29/861Diodes
    • H01L29/872Schottky diodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73265Layer and wire connectors

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Recrystallisation Techniques (AREA)

Description

[0001]本出願は、2017年3月29日に出願された米国仮特許出願第62/478,483号および2018年3月26日に出願された米国特許出願第15/936,305号の利益を主張し、その内容はその全体が参照により組み込まれる。
[0002]窒化ガリウムベースのパワーデバイスは、シリコン基板上にエピタキシャル成長させることができる。シリコン基板上での窒化ガリウムベースのパワーデバイスの成長は、基板とエピタキシャル層が異なる材料で構成されているため、ヘテロエピタキシャル成長プロセスである。ヘテロエピタキシャル成長プロセスにより、エピタキシャル成長した材料は、均一性の低下や、エピタキシャル層の電子/光学特性に関連するメトリックの低下など、様々な悪影響を示す場合がある。したがって、エピタキシャル成長プロセスおよび基板構造に関連する改良された方法およびシステムに対する技術的な必要性がある。
[0003]本発明のいくつかの実施形態によれば、垂直ショットキーダイオードは、オーミック接点と、オーミック接点に物理的に接触し第1のドーピング濃度を有する第1のエピタキシャルN型窒化ガリウム層と、第1のエピタキシャルN型窒化ガリウム層に物理的に接触し第1のドーピング濃度よりも低い第2のドーピング濃度を有する第2のエピタキシャルN型窒化ガリウム層とを含む。垂直ショットキーダイオードは、第2のエピタキシャルN型窒化ガリウム層に結合され、第2のエピタキシャルN型窒化ガリウム層の一部によって互いに分離された第1のエッジ終端領域および第2のエッジ終端領域と、第2のエピタキシャルN型窒化ガリウム層の前記一部、ならびに第1のエッジ終端領域および第2のエッジ終端領域に結合されたショットキー接点とをさらに含む。
[0004]本発明のいくつかの他の実施形態によれば、垂直ショットキーダイオードを形成する方法は、加工基板を提供することを含む。加工基板は、多結晶セラミックコア、多結晶セラミックコアをカプセル化するバリア層、バリア層に結合された接合層、および接合層に結合された実質的に単結晶のシリコン層を含んでもよい。本方法は、実質的に単結晶のシリコン層に結合され第1のドーピング濃度を有する第1のエピタキシャルN型窒化ガリウム層を形成することと、第1のエピタキシャルN型窒化ガリウム層に結合され第1のドーピング濃度よりも低い第2のドーピング濃度を有する第2のエピタキシャルN型窒化ガリウム層を形成することとをさらに含むことができる。本方法は、第2のエピタキシャルN型窒化ガリウム層に結合され第2のエピタキシャルN型窒化ガリウム層の一部によって互いに分離された第1のエッジ終端領域および第2のエッジ終端領域を形成することと、第2のエピタキシャルN型窒化ガリウム層の一部ならびに第1のエッジ終端領域および第2のエッジ終端領域とに結合されたショットキー接点を形成することとをさらに含むことができる。本方法は、加工基板を除去して第1のエピタキシャルN型窒化ガリウム層の裏面を露出させることと、第1のエピタキシャルN型窒化ガリウム層の裏面に結合されたオーミック接点を形成することとをさらに含むことができる。
[0005]本発明のいくつかのさらなる実施形態によれば、垂直ショットキーダイオードは、金属タブと、金属タブに結合されたオーミック接点と、オーミック接点に電気的に接触し第1のドーピング濃度を有する第1のエピタキシャルN型窒化ガリウム層と、第1のエピタキシャルN型窒化ガリウム層に物理的に接触し第1のドーピング濃度よりも低い第2のドーピング濃度を有する第2のエピタキシャルN型窒化ガリウム層とを有する。垂直ショットキーダイオードは、第2のエピタキシャルN型窒化ガリウム層の一部に結合されたショットキー接点をさらに含む。ショットキー接点は、ショットキー接点の断面が、第2のエピタキシャルN型窒化ガリウム層に近い領域で狭く、第2のエピタキシャルN型窒化ガリウム層から遠い第2の領域で広くなるような階段状構造を有する。
本発明のいくつかの実施形態による加工基板構造を示す簡略化した概略断面図である。 本発明のいくつかの実施形態によるショットキーバリアダイオード(SBD)を形成する方法を示す簡略化したフローチャートを示す。 本発明のいくつかの実施形態による図2に示した方法の中間ステップを示す概略断面図を示す。 本発明のいくつかの実施形態による図2に示した方法の中間ステップを示す概略断面図を示す。 本発明のいくつかの実施形態による図2に示した方法の中間ステップを示す概略断面図を示す。 本発明のいくつかの実施形態による図2に示した方法の中間ステップを示す概略断面図を示す。 本発明のいくつかの実施形態による図2に示した方法の中間ステップを示す概略断面図を示す。 本発明のいくつかの実施形態による図2に示した方法の中間ステップを示す概略断面図を示す。 本発明のいくつかの実施形態による図2に示した方法の中間ステップを示す概略断面図を示す。 本発明のいくつかの実施形態による図2に示した方法の中間ステップを示す概略断面図を示す。 本発明のいくつかの実施形態によるショットキーダイオードの斜視図を概略的に示す。 本発明のいくつかの実施形態による垂直ショットキーダイオードの断面図を概略的に示す。 本発明のいくつかの実施形態による垂直接合障壁ショットキー(JBS)ダイオードの断面図を概略的に示す。 本発明のいくつかの他の実施形態による垂直ショットキーダイオードの断面図を概略的に示す。 本発明のいくつかの実施形態によるショットキーダイオードのドーピング濃度のプロットを示す。 本発明のいくつかの他の実施形態によるショットキーダイオードのドーピング濃度のプロットを示す。 本発明のいくつかの実施形態による垂直ショットキーダイオードを形成する方法を示す簡略化したフローチャートを示す。 本発明のいくつかの実施形態による垂直ショットキーダイオードの断面図を概略的に示す。 本発明のいくつかの実施形態による加工基板構造を示す簡略化した概略図である。 本発明のいくつかの他の実施形態による加工基板構造を示す簡略化した簡略図である。 本発明のいくつかのさらなる実施形態による加工基板構造を示す簡略化した簡略図である。 本発明のいくつかの実施形態による加工基板を製造する方法を示す簡略化したフローチャートである。
[0021]本発明は、一般に、垂直ショットキーダイオードに関する。より具体的には、本発明は、エピタキシャル成長プロセスを使用して垂直ショットキーダイオードを製造するのに適した方法およびシステムに関する。単に例として、本発明は、エピタキシャル成長により基板上に垂直ショットキーダイオードを製造する方法およびシステムに適用され、基板は、垂直ショットキーダイオードを形成するエピタキシャル層に実質的に一致する熱膨張係数(CTE)によって特徴付けられる。本方法および技術は、様々な半導体処理操作に適用され得る。
[0022]図1は、本発明のいくつかの実施形態による加工基板構造を示す簡略化された概略図である。図1に示されるように、加工基板構造は、様々な電子的用途および光学的用途に適している可能性がある。加工基板構造は、加工基板構造上、例えば、剥離シリコン(111)層125上に成長するエピタキシャル材料の熱膨張係数(CTE)に実質的に一致するCTEを有し得るコア110(例えば、AlN)を含む。
[0023]窒化ガリウム(GaN)ベースの材料(GaNベースの層を含むエピタキシャル層)の成長を含む用途では、コア110は、多結晶セラミック材料、例えば、イットリウム酸化物などの結合材料を含むことができる多結晶窒化アルミニウム(AlN)であってもよい。コアには、多結晶窒化ガリウム(GaN)、多結晶窒化アルミニウムガリウム(AlGaN)、多結晶炭化ケイ素(SiC)、多結晶酸化亜鉛(ZnO)、多結晶三酸化ガリウム(Ga)などを含む他の材料を使用できる。
[0024]コア110の厚さは、100~1500μm程度、例えば750μmであってもよい。コア110は、接着層112にカプセル化され、これはシェルまたはカプセル化シェルと呼ばれることがある。一実施形態では、接着層112は、厚さが1000Å程度のテトラエチルオルトシリケート(TEOS)酸化物層を含む。他の実施形態では、接着層112の厚さは、例えば、100Åから2,000Åまで変化する。いくつかの実施形態では、接着層112にTEOS酸化物が利用されるが、本発明の一実施形態によれば、後に堆積される層と下部の層または材料(例えば、セラミック、特に多結晶セラミック)との間の接着を提供する他の材料を利用してもよい。例えば、SiOまたは他の酸化ケイ素(Si)は、セラミック材料によく接着し、そして、例えば、後続の導電性材料の堆積に適した表面を提供する。いくつかの実施形態では、接着層112は、コア110を完全に取り囲んで完全にカプセル化されたコア110を形成し、LPCVDプロセスまたは他の適切な堆積プロセスを使用して形成することができ、これは半導体処理、特に、多結晶または複合の基板および層と互換性がある可能性がある。接着層112は表面を提供し、その上に後続の層が接着されて加工基板構造の要素を形成する。
[0025]カプセル化接着層を形成するためのLPCVDプロセス、スピンオンガラス/誘電体、炉ベースのプロセスなどの使用に加えて、CVDプロセスまたは同様の堆積プロセスを含む他の半導体プロセスを本発明の実施形態に従って利用することができる。一例として、コア110の一部を被覆する堆積プロセスを利用することができ、コア110を裏返しにすることができ、堆積プロセスを繰り返してコア110の追加部分を被覆することができる。したがって、一部の実施形態では完全にカプセル化された構造を提供するためにLPCVD技術が利用されるが、特定の用途に応じて他の膜形成技術を利用してもよい。
[0026]導電層114は、接着層112を取り囲むように形成される。一実施形態において、導電層114は、ポリシリコン(すなわち、多結晶シリコン)のシェルであり、ポリシリコンはセラミック材料に対して不十分な接着性を示すことがあるため、接着層112を取り囲むように形成される。導電層114がポリシリコンである実施形態では、ポリシリコン層の厚さは、500~5,000Å程度、例えば2,500Åであってもよい。いくつかの実施形態において、ポリシリコン層は、接着層112(例えば、TEOS酸化物層)を完全に取り囲むシェルとして形成され、それにより完全にカプセル化された接着層112が形成され、そしてLPCVDプロセスを使用して形成されてもよい。他の実施形態では、以下で説明するように、導電性材料は、接着層112の一部、例えば基板構造の下半分に形成されてもよい。いくつかの実施形態では、導電性材料は、完全にカプセル化層として形成され、その後、基板構造の片側で除去されてもよい。
[0027]一実施形態では、導電層114は、高導電性材料を提供するためにドープされたポリシリコン層、例えば、p型ポリシリコン層を提供するためにホウ素がドープされたポリシリコン層とすることができる。いくつかの実施形態において、ホウ素によるドーピングは、高い導電性を提供するために1×1019cm-3~1×1020cm-3のレベルである。異なるドーパント濃度の他のドーパント(例えば、1x1016cm-3~5x1018cm-3の範囲のドーパント濃度のリン、ヒ素、ビスマスなど)を使用して、導電層114での使用に適したn型またはp型のいずれかの半導体材料を提供できる。当業者は、多くの変形、修正、および代替案を認識するであろう。
[0028]導電層114の存在は、加工基板を半導体処理ツール、例えば静電チャック(ESCまたはeチャック)を備えたツールに静電チャックする間、有用である。導電層は、半導体処理ツール内での処理後の迅速なチャッキング解除を可能にする。本発明の実施形態では、導電層114は、ボンディングを含む将来の処理中に、チャックとの電気的接触またはeチャックへの容量結合を可能にする。したがって、本発明の実施形態は、従来のシリコンウェハで利用される方法で処理され得る基板構造を提供する。当業者は、多くの変形、修正、および代替案を認識するであろう。加えて、静電チャックと組み合わせて高い熱伝導率を有する基板構造を有することは、後続の設計層およびエピタキシャル層の形成のために、ならびに後続のデバイス製造工程のために、より良い堆積条件を提供することができる。例えば、それは、より低い応力、より均一な堆積厚さ、および後続の層形成を通してのより良好な化学量論制御をもたらすことのできる望ましい熱プロファイルを提供し得る。
[0029]第2の接着層116(例えば、厚さが1000Å程度のTEOS酸化物層)が導電層114を取り囲むように形成される。いくつかの実施形態では、第2の接着層116は導電層114を完全に取り囲んで完全にカプセル化された構造を形成し、LPCVDプロセス、CVDプロセス、またはスピンオン誘電体の堆積を含む他の適切な堆積プロセスを使用して形成することができる。
[0030]バリア層118、例えば、窒化シリコン層が、第2の接着層116を取り囲むように形成される。一実施形態では、バリア層118は、厚さが2000Å~5000Å程度の窒化ケイ素層である。バリア層118は、いくつかの実施形態では第2の接着層116を完全に囲んで完全にカプセル化された構造を形成し、LPCVDプロセスを使用して形成することができる。窒化ケイ素層に加えて、SiCN、SiON、AlN、SiCなどを含むアモルファス材料をバリア層118として利用してもよい。いくつかの実施態様では、バリア層118は、バリア層118を形成するために構築されたいくつかの副層からなる。したがって、バリア層という用語は、単層または単一材料を意味するのではなく、複合的に積層された1つ以上の材料を包含することを意図している。当業者は、多くの変形、修正、および代替案を認識するであろう。
[0031]いくつかの実施形態では、バリア層118、例えば、窒化ケイ素層により、コア内に存在する元素、例えば、イットリウム(元素)、酸化イットリウム(すなわち、イットリア)、酸素、金属不純物、他の微量元素等が、例えば、高温(例えば、1000℃)のエピタキシャル成長プロセス中に、加工基板が存在する可能性がある半導体処理チャンバ環境中へ拡散および/またはガス放出することが防止される。本明細書に記載のカプセル化層を利用して、非クリーンルーム環境向けに設計された多結晶AlNを含むセラミック材料を、半導体プロセスフローおよびクリーンルーム環境で利用することができる。
[0032]通常、コアを形成するのに利用されるセラミック材料は1,800℃の範囲の温度で焼成される。このプロセスはセラミック材料中に存在するかなりの量の不純物を放出するであろうと予想されるであろう。これらの不純物には、焼結剤としてイットリアを使用した結果であるイットリウム、カルシウム、その他の元素および化合物が含まれる。続いて、800℃~1100℃の範囲のはるかに低い温度で行われるエピタキシャル成長プロセスの間、これらの不純物のその後の拡散は重要ではないと予期されるであろう。しかしながら、従来の予想に反して、本発明者らは、セラミック材料の焼成温度よりもはるかに低い温度でのエピタキシャル成長プロセスの間でさえも、加工基板の層を通しての元素の有意な拡散が存在することを突き止めた。したがって、本発明の実施形態は、この望ましくない拡散を防ぐためにバリア層118を加工基板構造に一体化する。
[0033]接合層120(例えば、酸化ケイ素層)は、バリア層118の一部、例えば、バリア層118の上面に堆積され、その後、実質的に単結晶の層125(例えば、図1に示す剥離シリコン(111)層などの単結晶シリコン層)の結合中に使用される。いくつかの実施形態では、接合層120の厚さは約1.5μmであってもよい。いくつかの実施形態では、接合層120の厚さは、結合誘起ボイドの軽減のために20nm以上である。いくつかの実施形態では、接合層120の厚さは0.75~1.5μmの範囲内にある。
[0034]実質的に単結晶の層125(例えば、剥離したSi(111))は、エピタキシャル材料を形成するためのエピタキシャル成長プロセス中の成長層としての使用に適している。いくつかの実施形態では、エピタキシャル材料は、厚さが2μm~10μmのGaN層を含むことができ、オプトエレクトロニクス、RF、およびパワーデバイスで利用される複数の層の1つとして利用することができる。一実施形態では、実質的に単結晶の層125は、層転写プロセスを使用して接合層120に取り付けられている単結晶シリコン層を含む。
[0035]加工基板構造に関するさらなる説明は、2017年6月13日に出願された米国特許出願第15/621,335号、および2017年6月13日に出願された米国特許出願第15/621,235号に提供されており、その開示は、あらゆる目的に対して、全体として、参照により組み込まれる。
[0036]図2は、本発明のいくつかの実施形態によるショットキーバリアダイオード(SBD)を形成する方法200を示す簡略化されたフローチャートを示す。図3A~3Hは、本発明のいくつかの実施形態による方法200の中間ステップを示す概略断面図を示す。
[0037]図2および図3Aを参照すると、方法200は、202で、加工基板(ES)302を提供することを含む。いくつかの実施形態によれば、加工基板302は、多結晶セラミックコア、多結晶セラミックコアをカプセル化するバリア層、バリア層に結合された接合層、および接合層に結合された実質的に単結晶のシリコン層を含んでもよい。
[0038]いくつかの実施形態では、加工基板の多結晶セラミックコアは、多結晶窒化アルミニウムガリウム(AlGaN)、多結晶窒化ガリウム(GaN)、多結晶窒化アルミニウム(AlN)、多結晶炭化ケイ素(SiC)、またはそれらの組合せを含んでもよい。いくつかの実施形態において、バリア層は、Si、Si、Si、SiCN、SiON、AlN、SiC、またはそれらの組合せを含んでもよい。いくつかの実施形態において、接合層は、酸化シリコン層などの酸化物層を含んでもよい。一実施形態では、単結晶シリコン層は、後述するように、エピタキシャル材料の形成のためのエピタキシャル成長プロセス中に、成長層としての使用に適している可能性があるシリコン(111)層を含む。
[0039]いくつかの実施形態では、図1を参照して上述したように、加工基板302は、多結晶セラミックコアに結合された第1の接着層、第1の接着層に結合された導電層、および導電層に結合された第2の接着層をさらに含むことができ、第1の接着層、導電層、および第2の接着層は、多結晶セラミックコアとバリア層との間に配置される。いくつかの実施形態では、第1の接着層は第1のテトラエチルオルトシリケート(TEOS)酸化物層を含み、第2の接着層は第2のTEOS酸化物層を含んでもよい。導電層はポリシリコン層を含んでもよい。いくつかの実施形態では、加工基板302は、エピタキシャルデバイス層の形成を促進するために、実質的に単結晶シリコン層に結合された核生成層をさらに含んでもよい。
[0040]図2および図3A~3Bを参照すると、方法200は、204で、実質的に単結晶シリコン層に結合された第1のエピタキシャルN型窒化ガリウム(GaN)層312(「NGaN」層と呼ばれる)を形成することをさらに含む。第1のエピタキシャルN型GaN層312は、裏面および前面を有する。裏面は、加工基板302に結合される。方法200は、206で、第1のエピタキシャルN型GaN層312の前面に結合された第2のエピタキシャルN型GaN層314(「NGaN」層と呼ばれることもある)を形成することをさらに含む。
[0041]第1のN型GaN層312は、オーミック接点の形成を促進することができ、例えば、約1×1018cm-3程度の比較的高いN型ドーピング濃度を有してもよい。第2のN型GaN層314は、ドリフト領域として機能することができ、例えば、約1×1016cm-3程度の比較的低いドーピング濃度を有してもよい。いくつかの実施形態では、第2のN型GaN層314は、約20μmより厚い厚さを有してもよい。CTEが一致した加工基板302を使用することにより、低転位密度の比較的厚いドリフト領域のエピタキシャル成長が可能になる場合がある。厚いドリフト領域により、ショットキーダイオードに、より低いリーク電流およびはるかに高い破壊電圧、ならびに他の多くの利点が与えられる。
[0042]いくつかの実施形態によれば、方法200は、第1のエピタキシャルN型GaN層312および第2のエピタキシャルN型GaN層314を形成する前に、実質的に単結晶層に結合されるバッファ層316を形成することをさらに含んでもよい。その後、第1のエピタキシャルN型GaN層312および第2のエピタキシャルN型GaN層314がバッファ層316上に形成される。いくつかの実施形態では、バッファ層316は、複数の層を含む超格子を含んでもよい。例えば、バッファ層316は、単結晶シリコン層に結合された窒化アルミニウム層、窒化アルミニウム層に結合された窒化アルミニウムガリウム層、および窒化アルミニウムガリウム層に結合された窒化ガリウム層を含んでもよい。別の実施形態では、バッファ層316は、窒化アルミニウムガリウムの単一層を含んでもよい。バッファ層316、第1のエピタキシャルN型GaN層312、および第2のエピタキシャルN型GaN層314を含むエピタキシャル層310全体は、図3Bに示すように、GaNエピタキシャル層310と呼ばれる場合がある。
[0043]いくつかの実施形態によれば、第1のエピタキシャルN型GaN層312、第2のエピタキシャルN型GaN層314、およびバッファ層316は、化学蒸着CVD(有機金属CVD(MOCVD)、低圧CVD(LPCVD)、プラズマCVD(PECVD)、原子層CVD(ALCVD)を含む)、ハイドライド気相成長(HVPE)、原子層堆積(ALD)、分子線エピタキシー(MBE)、またはそれらの組合せなどの薄膜堆積技術によって形成され得る。
[0044]図2および3Cを参照すると、方法200は、208で、第2のエピタキシャルN型GaN層314に結合された1つ以上のショットキー接点320を形成することをさらに含む。ショットキー接点320は、適切なメタライゼーションプロセスによって形成されてもよい。いくつかの実施形態では、ショットキー接点320は、ニッケル白金(Ni/Pt)合金、ニッケル金(Ni/Au)合金などを含んでもよい。図3Dを参照すると、ショットキー接点320が形成された後、方法200は、複数のデバイス分離領域330を形成することをさらに含んでもよい。デバイス分離領域330を隣接するデバイス間の領域内のGaNエピタキシャル層310の部分をエッチング除去することにより形成してもよい。
[0045]図2および3Eを参照すると、方法200は、210で、1つ以上のショットキー接点上に金属めっきメッシュ340を形成することをさらに含む。いくつかの実施形態では、金属めっきメッシュ340は、銅(Cu)または他の適切な金属を含んでもよい。いくつかの実施形態では、金属めっきメッシュ340は、約50μm~約100μmの範囲の厚さを有してもよい。
[0046]図2および3Fを参照すると、方法200は、212で、第1のエピタキシャルN型GaN層312の裏面を露出させるために加工基板302を除去することをさらに含む。加工基板302は、例えば、機械的研磨、ドライエッチング、ウェットエッチング、またはフッ化水素酸(HF)または硫酸(HSO)などのエッチング化学物質を使用するリフトオフプロセスによって除去することができる。エピタキシャル窒化ガリウム層310は、実質的にCTEが一致した加工基板302上に形成されるため、加工基板302が除去された後、GaNエピタキシャル層310は応力下でカールしない場合がある。
[0047]図3Fに示すように、加工基板302が除去された後、デバイス構造が反転され、エポキシを使用して任意のキャリア基板304が金属めっきメッシュ340に一時的に結合されてもよい。図2および3Gを参照すると、方法200は、バッファ層316を除去して第1のエピタキシャルN型GaN層312にアクセスすることを含むことができる。図2および図3Hを参照すると、方法200は、214で、第1のエピタキシャルN型GaN層312の裏面上に1つ以上のオーミック接点350を形成することをさらに含む。
[0048]一実施形態によれば、キャリア基板304を除去し、デバイス構造をダイシングして、1つ以上のチップスケールパッケージ(CSP)ショットキーダイオードを生成することができる。図4は、本発明の一実施形態による、上述の方法200を使用して作製することができるショットキーダイオード400の斜視図を概略的に示す。ショットキーダイオード400は、カソード電極としてオーミック接点350に電気的に結合されたボンドパッド352を含んでもよい。一部の実施形態では、ショットキーダイオード400は、約20μmより厚い厚さを有するGaNドリフト領域314(すなわち、第2のN型GaN層)を有してもよい。低転位密度で比較的厚いドリフト領域314を堆積する能力により、ショットキーダイオード400に、低リーク電流およびはるかに高い破壊電圧、ならびに他の多くの利点が与えられる。
[0049]いくつかの他の実施形態では、複数のデバイス分離領域330を形成するステップは省略されてもよい。加工基板302を除去した後、オーミック接点350が第1のエピタキシャルN型GaN層312の裏面に形成される。次に、デバイス構造を裏面からダイシングして、1つ以上のショットキーダイオードを作成する。
[0050]図2に示す特定のステップは、本発明の実施形態による特定の方法200を提供することを理解されたい。代替の実施形態によれば、ステップの他のシーケンスも実行することができる。例えば、本発明の代替実施形態は、上記で概説したステップを異なる順序で実行してもよい。さらに、図2に示される個々のステップは、個々のステップに適切な様々なシーケンスで実行され得る複数のサブステップを含んでもよい。さらに、特定の用途に応じて追加のステップを追加または削除してもよい。当業者は、多くの変形、修正、および代替案を認識するであろう。
[0051]本発明のいくつかの実施形態によれば、垂直ショットキーダイオードは、電界集中の大きさを低減するために周辺領域に電界制御構造を組み込むことができる。接合終端構造(JTE)は、接合部から横方向に延びて電界をより広い領域に広げることができるため、破壊電圧が上昇し、電流リークが減少する。
[0052]図5は、本発明のいくつかの実施形態による垂直ショットキーダイオード500の断面図を概略的に示す。垂直ショットキーダイオード500は、オーミック接点506を含んでもよい。例えば、オーミック接点506は、チタン(Ti)、アルミニウム(Al)、ニッケル(Ni)、金(Au)、銀(Ag)、またはそれらの組合せを含んでもよい。垂直ショットキーダイオード500は、オーミック接点506に物理的に接触する第1のエピタキシャルN型窒化ガリウム層508(nGaN層)をさらに含んでもよい。第1のエピタキシャルN型窒化ガリウム層508は、オーミック接点506の形成を促進するために高濃度にドープされてもよい。例えば、第1のエピタキシャルN型窒化ガリウム層508は、1×1018cm-3程度の第1のドーピング濃度を有してもよい。垂直ショットキーダイオード500は、第1のエピタキシャルN型窒化ガリウム層508に結合された第2のエピタキシャルN型窒化ガリウム層(nGaN層)510をさらに含んでもよい。第2のエピタキシャルN型窒化ガリウム層510は、意図せずにドープされたGaN層であってもよく、ドリフト領域として機能してもよい。第2のエピタキシャルN型窒化ガリウム層510は、約2×1015cm-3~約1×1016cm-3の範囲の第2のドーピング濃度を有してもよい。いくつかの実施形態では、第2のエピタキシャルN型窒化ガリウム層510は、第1のエピタキシャルN型窒化ガリウム層508との界面に界面層を含むことができる。界面層は、窒化アルミニウムガリウム、窒化インジウムガリウムなどを含んでもよい。
[0053]いくつかの実施形態を層に関して説明したが、層という用語は、層が関心のある層を形成するために構築されるいくつかの副層を含むことができるように理解されるべきである。したがって、層という用語は、単一材料からなる単一層を意味するのではなく、所望の構造を形成するために複合的に積層された1つ以上の材料を包含することを意図している。当業者は、多くの変形、修正、および代替案を認識するであろう。
[0054]いくつかの実施形態では、第1のエピタキシャルN型窒化ガリウム層508および第2のエピタキシャルN型窒化ガリウム層510を、上記で説明し図1に示すように加工基板上に形成することができる。加工基板は、第1のエピタキシャルN型窒化ガリウム層508および第2のエピタキシャルN型窒化ガリウム層510の熱膨張係数(CTE)に実質的に一致するCTEを有することができるコア110(例えば、AlN)を含むため、比較的厚い第2のエピタキシャルN型窒化ガリウム層を成長させ、それでもなお良好な結晶品質を維持することが可能であり得る。いくつかの実施形態では、第2のエピタキシャルN型窒化ガリウム層510は、約10μm~約25μmの範囲の厚さを有してもよい。加工基板上でのエピタキシャル成長による比較的厚いドリフト領域を堆積する能力により、ショットキーダイオード500に、低リーク電流およびより高い破壊電圧、ならびに他の多くの利点が与えられる。その後、加工基板を除去して、第1のエピタキシャルN型窒化ガリウム層508の裏面を露出させ、オーミック接点506の形成を促進することができる。
[0055]一方、バルク単結晶GaN基板は、通常、比較的厚く除去できないため、第2のエピタキシャルN型窒化ガリウム層510は、バルク単結晶GaN基板上に形成されたGaNベースのデバイスよりも低い熱抵抗を有するように、依然として比較的薄くてもよい。電流が垂直ショットキーダイオード500を垂直に流れると熱が発生するため、熱管理には熱抵抗が低い方が有利である。
[0056]いくつかの実施形態では、垂直ショットキーダイオード500は、第2のエピタキシャルN型窒化ガリウム層510に結合された第1のエッジ終端領域512および第2のエッジ終端領域514をさらに含んでもよい。第1のエッジ終端領域512および第2のエッジ終端領域514は、第2のエピタキシャルN型窒化ガリウム層510の一部510-1によって互いに分離されてもよい。垂直ショットキーダイオード500は、第2のエピタキシャルN型窒化ガリウム層510の一部510-1に結合されたショットキー接点516をさらに含んでもよい。ショットキー接点516は、例えば、TaN、WN、または他の金属および合金を含んでもよい。ショットキー接点516は、第1のエッジ終端領域512および第2のエッジ終端領域514と重なってもよい。
[0057]いくつかの実施形態によれば、第1のエッジ終端領域512および第2のエッジ終端領域514は、マグネシウム(Mg)イオン注入により第2のエピタキシャルN型窒化ガリウム層510の第1の部分および第2の部分をドープすることにより形成され得る。したがって、第1のエッジ終端領域512および第2のエッジ終端領域514をP型ドープ領域とすることができる。第1のエッジ終端領域512および第2のエッジ終端領域514は、ショットキー接合からダイのエッジまで横方向に延びることができ、それにより、より広い領域に電界を広げることができる。したがって、垂直ショットキーダイオード500の破壊電圧は上昇することができる。
[0058]垂直ショットキーダイオード500は、ショットキー接点516に結合されたパッド金属518と、パッド金属518に電気的に接続されたボンドワイヤ520とをさらに含んでもよい。パッド金属518は、例えば、パラジウム(Pd)、金(Au)、銀(Ag)、または他の金属、またはそれらの組合せ、または金属合金を含んでもよい。垂直ショットキーダイオード500は、ダイ取付けはんだ504を介してダイを支持するための金属タブ502をさらに含んでもよい。金属タブ502は、例えば、銅(Cu)、アルミニウム(Al)、金(Au)、白金(Pt)、または他の金属および金属合金を含んでもよい。垂直ショットキーダイオード500は、成形化合物522によってカプセル化することもできる。
[0059]図6は、本発明のいくつかの実施形態による垂直接合障壁ショットキー(JBS)ダイオード600の断面図を概略的に示す。垂直JBSダイオード600は、第2のエピタキシャルN型窒化ガリウム層510の一部510-1内に複数のグリッド領域610を含むことを除いて、図5に示す垂直ショットキーダイオード500と同様であってもよい。複数のグリッド領域610は、ショットキー接点516に結合される。いくつかの実施形態では、複数のグリッド領域610は、マグネシウム(Mg)イオン注入によって第2のエピタキシャルN型窒化ガリウム層510の一部510-1を選択的領域ドーピングにより形成することができる。
[0060]図7は、本発明のいくつかの他の実施形態による垂直ショットキーダイオード700の断面図を概略的に示す。垂直ショットキーダイオード700は、第1のエッジ終端領域512と第2のエッジ終端領域514との間の第2のエピタキシャルN型窒化ガリウム層510の一部510-1が第2のエピタキシャルN型窒化ガリウム層510の残りから突出するメサを形成することを除いて、図5に示す垂直ショットキーダイオード500と同様であってもよい。第1のエッジ終端領域512および第2のエッジ終端領域514は、メサの側壁に適合してもよい。垂直ショットキーダイオード700は、デバイスをパッケージングするための充填材料710をさらに含んでもよい。充填材料710は、第1のエッジ終端領域512、第2のエッジ終端領域514、ショットキー接点516、およびパッド金属518を覆うことができる。
[0061]いくつかの実施形態によれば、図5~図7に示すように、垂直ショットキーダイオードの第1のエッジ終端領域512および第2のエッジ終端領域514の各々は、均一なドーピング濃度を有する単一ゾーンを含んでもよい。図8は、本発明のいくつかの実施形態によるショットキーダイオードのドーピング濃度のグレースケールプロットを示す。例えば、第1のエッジ終端領域512および第2のエッジ終端領域514のドーピング濃度は、約2×1015cm-3~約5×1016cm-3の範囲であってもよい。
[0062]いくつかの他の実施形態によれば、図5~図7に示すように、垂直ショットキーダイオードの第1のエッジ終端領域512および第2のエッジ終端領域514の各々は、異なるドーピング濃度を有する2つの横方向ゾーンを含んでもよい。図9は、本発明のいくつかの他の実施形態によるショットキーダイオードのドーピング濃度のグレースケールプロットを示す。第1のエッジ終端領域512および第2のエッジ終端領域514の各々は、第1の横方向ゾーン512-1/514-1および第2の横方向ゾーン512-2/514-2を含んでもよい。第2の横方向ゾーン512-2/514-2は、第1の横方向ゾーン512-1/514-1のドーピング濃度よりも高いドーピング濃度を有することができるので、段階的JTEを提供する。例えば、第1の横方向ゾーン512-1/514-1は、約2×1015cm-3~約5×1016cm-3の範囲のドーピング濃度を有してもよい。第2の横方向ゾーン512-2/514-2は、約5×1016cm-3~約5×1017cm-3の範囲のドーピング濃度を有してもよい。
[0063]図10は、本発明のいくつかの実施形態による垂直ショットキーダイオードを形成する方法1000を示す簡略化されたフローチャートを示す。方法1000は、加工基板を提供することを含むことができる(1002)。加工基板は、多結晶セラミックコア、多結晶セラミックコアをカプセル化するバリア層、バリア層に結合された接合層、および接合層に結合された実質的に単結晶のシリコン層を含んでもよい。方法1000は、実質的に単結晶シリコン層に結合された第1のエピタキシャルN型窒化ガリウム層を形成することをさらに含むことができる(1004)。第1のエピタキシャルN型窒化ガリウム層は、第1のドーピング濃度を有してもよい。方法1000は、第1のエピタキシャルN型窒化ガリウム層に結合された第2のエピタキシャルN型窒化ガリウム層を形成することをさらに含むことができる(1006)。第2のエピタキシャルN型窒化ガリウム層は、第1のドーピング濃度よりも低い第2のドーピング濃度を有してもよい。
[0064]方法1000は、第1のエッジ終端領域および第2のエッジ終端領域を形成することをさらに含むことができる(1008)。第1のエッジ終端領域および第2のエッジ終端領域は、第2のエピタキシャルN型窒化ガリウム層に結合され、第2のエピタキシャルN型窒化ガリウム層の一部によって互いに分離されている。方法1000は、第2のエピタキシャルN型窒化ガリウム層の一部と、第1のエッジ終端領域および第2のエッジ終端領域とに結合されたショットキー接点を形成することをさらに含むことができる(1010)。方法1000は、第1のエピタキシャルN型窒化ガリウム層の裏面を露出するように加工基板を除去すること(1012)、および第1のエピタキシャルN型窒化ガリウム層の裏面に結合されたオーミック接点を形成すること(1014)をさらに含む。
[0065]図10に示す特定のステップは、本発明の一実施形態による垂直ショットキーダイオードを形成する特定の方法を提供することであることを理解すべきである。代替の実施形態によれば、ステップの他のシーケンスも実行することができる。例えば、本発明の代替実施形態は、上記で概説したステップを異なる順序で実行してもよい。さらに、図10に示される個々のステップは、個々のステップに適切な様々なシーケンスで実行され得る複数のサブステップを含んでもよい。さらに、特定の用途に応じて追加のステップを追加または削除してもよい。当業者は、多くの変形、修正、および代替案を認識するであろう。
[0066]いくつかの他の実施形態では、垂直ショットキーダイオードの周辺領域で電界を制御するためにフィールドプレートが使用されてもよい。図11は、本発明のいくつかの実施形態による垂直ショットキーダイオード1100の断面図を概略的に示す。垂直ショットキーダイオード1100は、金属タブ1102、金属タブ1102に結合されたオーミック接点1104、およびオーミック接点1104に結合された第1のエピタキシャルN型窒化ガリウム層1106を含んでもよい。第1のエピタキシャルN型窒化ガリウム層1106は、オーミック接点1104の形成を促進するために高濃度ドープされてもよい。例えば、第1のエピタキシャルN型窒化ガリウム層1106は、1×1018cm-3程度の第1のドーピング濃度を有してもよい。垂直ショットキーダイオード1100は、第1のエピタキシャルN型窒化ガリウム層1106に結合された第2のエピタキシャルN型窒化ガリウム層1108をさらに含んでもよい。第2のエピタキシャルN型窒化ガリウム層1108は、意図せずにドープされたGaN層であってもよく、ドリフト領域として機能してもよい。いくつかの実施形態では、第2のエピタキシャルN型窒化ガリウム層1108は、約10μm~約25μmの範囲の厚さを有してもよい。第2のエピタキシャルN型窒化ガリウム層1108は、約2×1015cm-3~約1×1016cm-3の範囲の第2のドーピング濃度を有してもよい。いくつかの実施形態では、第2のエピタキシャルN型窒化ガリウム層1108は、第1のエピタキシャルN型窒化ガリウム層1106への界面に界面層を含んでもよい。界面層は、窒化アルミニウムガリウム、窒化インジウムガリウムなどを含んでもよい。
[0067]垂直ショットキーダイオード1100は、第2のエピタキシャルN型窒化ガリウム層1108の一部に結合されたショットキー接点1110をさらに含んでもよい。いくつかの実施形態では、ショットキー接点1110は、第2のエピタキシャルN型窒化ガリウム層1108の近位側で狭い断面積を、第2のエピタキシャルN型窒化ガリウム層1108の遠位側で広い断面積を有するように、ショットキー接点1110は階段状構造を有してもよい。垂直ショットキーダイオード1100は、第2のエピタキシャルN型窒化ガリウム層1108の残りの部分を覆うパッシベーション層1114、ショットキー接点1110に結合されたパッド金属1112、およびカプセル化層1116をさらに含んでもよい。
[0068]本発明のいくつかの実施形態によれば、図5~7および11に示す垂直ショットキーダイオードは、後に除去される加工基板上でのエピタキシャル成長によって製造されてもよい。図12は、本発明のいくつかの実施形態による加工基板1200を示す簡略化された概略断面図である。図12に示す加工基板1200は、様々な電子用途および光学用途に適している。加工基板1200は、加工基板1200上で成長するエピタキシャル材料の熱膨張係数(CTE)に実質的に一致するCTEを有し得るコア1210を含む。エピタキシャル材料1230は、加工基板1200の要素として必要とされないが、通常、加工基板1200上で成長されるので、オプションとして示される。
[0069]窒化ガリウム(GaN)ベースの材料(GaNベースの層を含むエピタキシャル層)の成長を含む用途では、コア1210は、多結晶窒化アルミニウム(AlN)などの多結晶セラミック材料であってもよく、これはイットリウム酸化物などの結合材料を含んでもよい。コア1210には、多結晶窒化ガリウム(GaN)、多結晶窒化アルミニウムガリウム(AlGaN)、多結晶炭化ケイ素(SiC)、多結晶酸化亜鉛(ZnO)、多結晶三酸化ガリウム(Ga)などを含む他の材料を利用してもよい。
[0070]コア1210の厚さは、100μm~1500μm程度、例えば725μmであってもよい。コア1210は、シェルまたはカプセル化シェルと呼ばれることがある接着層1212内にカプセル化される。一実施形態では、接着層1212は、厚さが1,000Å程度のテトラエチルオルトシリケート(TEOS)酸化物層を含む。他の実施形態では、接着層の厚さは、例えば、100Åから2,000Åまで変化する。いくつかの実施形態では、接着層にTEOS酸化物が利用されるが、本発明の実施形態によれば、後に堆積される層と下部の層または材料(例えば、セラミック、特に多結晶セラミック)との間の接着を提供する他の材料を利用してもよい。例えば、SiOまたは他の酸化ケイ素(Si)は、セラミック材料によく接着し、そして、例えば、後続の導電性材料の堆積に適した表面を提供する。いくつかの実施形態において、接着層1212はコア1210を完全に囲み、完全にカプセル化されたコアを形成する。接着層1212は、低圧化学蒸着(LPCVD)プロセスを使用して形成されてもよい。接着層1212は、その上に後続の層が接着して加工基板1200構造の要素を形成する表面を提供する。
[0071]カプセル化する第1の接着層1212を形成するためのLPCVDプロセス、炉ベースプロセスなどの使用に加えて、CVDプロセスまたは同様の堆積プロセスを含む他の半導体プロセスを本発明の実施形態に従って利用してもよい。一例として、コアの一部を被覆する堆積プロセスを利用することができ、コア1210を裏返しにすることができ、堆積プロセスを繰り返してコアの追加部分を被覆することができる。したがって、一部の実施形態では完全にカプセル化された構造を提供するためにLPCVD技術が利用されるが、特定の用途に応じて他の膜形成技術を利用してもよい。
[0072]接着層1212を取り囲むように導電層1214が形成される。一実施形態では、導電層1214はポリシリコン(すなわち、多結晶シリコン)のシェルであり、ポリシリコンはセラミック材料に対する接着性が不十分であるため、当該シェルは第1の接着層1212を取り囲むように形成される。導電層1214がポリシリコンである実施形態では、ポリシリコン層の厚さは、500~5,000Å程度、例えば2,500Åであってもよい。いくつかの実施形態では、ポリシリコン層は、第1の接着層1212(例えば、TEOS酸化物層)を完全に取り囲むシェルとして形成され、それにより完全にカプセル化された第1の接着層1212を形成し、LPCVDプロセスを使用して形成されてもよい。他の実施形態では、以下で説明するように、導電性材料は、接着層の一部、例えば、基板構造の下半分に形成されてもよい。いくつかの実施形態では、導電性材料は、完全にカプセル化層として形成され、その後、基板構造の片側で除去されてもよい。
[0073]一実施形態において、導電層1214は、高導電性材料を提供するためにドープされたポリシリコン層、例えば、P型ポリシリコン層を提供するためにホウ素がドープされたポリシリコン層であってもよい。いくつかの実施形態において、ホウ素によるドーピングは、高い導電性を提供するために1×1019cm-3~1×1020cm-3のレベルである。異なるドーパント濃度の他のドーパント(例えば、ドーパント濃度が1x1016cm-3~5x1018cm-3の範囲のリン、ヒ素、ビスマスなど)を使用して、導電層1214での使用に適したN型またはP型の半導体材料を提供することができる。当業者は、多くの変形、修正、および代替案を認識するであろう。
[0074]導電層1214の存在は、半導体処理ツール、例えば、静電放電チャック(ESC)を有するツールへの加工基板1200の静電チャック中に有用である。導電層1214は、半導体処理ツール内での処理後の迅速なチャッキング解除を可能にする。したがって、本発明の実施形態は、従来のシリコンウェハで利用される方法で処理され得る基板構造を提供する。当業者は、多くの変形、修正、および代替案を認識するであろう。
[0075]第2の接着層1216(例えば、厚さが1000Å程度のTEOS酸化物層)が、導電層1214を取り囲むように形成される。いくつかの実施形態において、第2の接着層1216は、導電層1214を完全に取り囲み、完全にカプセル化された構造を形成する。第2の接着層1216は、LPCVDプロセス、CVDプロセス、またはスピンオン誘電体の堆積を含む他の適切な堆積プロセスを使用して形成してもよい。
[0076]バリア層1218、例えば、窒化ケイ素層が、第2の接着層1216を取り囲むように形成される。一実施形態では、バリア層1218は、厚さが約4,000Å~5,000Å程度の窒化ケイ素層である。バリア層1218は、いくつかの実施形態では第2の接着層1216を完全に囲んで完全にカプセル化された構造を形成し、LPCVDプロセスを使用して形成することができる。窒化ケイ素層に加えて、SiCN、SiON、AlN、SiCなどを含むアモルファス材料をバリア層として利用してもよい。いくつかの実施態様では、バリア層は、バリア層を形成するために構築されるいくつかの副層からなる。したがって、バリア層という用語は、単層または単一材料を意味するのではなく、複合的に積層された1つ以上の材料を包含することを意図している。当業者は、多くの変形、修正、および代替案を認識するであろう。
[0077]いくつかの実施形態では、バリア層1218、例えば、窒化ケイ素層により、コア1210内に存在する元素が、例えば、高温(例えば、1000℃)のエピタキシャル成長プロセス中に、加工基板1200が存在する可能性がある半導体処理チャンバ環境中へ拡散および/またはガス放出することが防止される。コア1210に存在する元素には、例えば、酸化イットリウム(すなわち、イットリア)、酸素、金属不純物、他の微量元素などが含まれ得る。コア1210から拡散した元素は、設計層1220/1222内に意図しないドーピングを引き起こす可能性がある。コア1210から放出された元素は、チャンバを通って移動し、ウェハ上の他の場所で吸着して、設計層1220/1222およびエピタキシャル材料1230内に不純物を生じさせる可能性がある。本明細書に記載のカプセル化層を利用して、非クリーンルーム環境向けに設計された多結晶AlNを含むセラミック材料を、半導体プロセスフローおよびクリーンルーム環境で利用することができる。
[0078]接合層1220(例えば、酸化ケイ素層)は、バリア層1218の一部、例えば、バリア層の上面に堆積され、その後、単結晶層1222の結合中に使用される。接合層1220は、いくつかの実施形態では、厚さが約1.5μmであってもよい。単結晶層1222は、例えば、Si、SiC、サファイア、GaN、AlN、SiGe、Ge、ダイヤモンド、Ga、AlGaN、InGaN、InN、および/またはZnOを含んでもよい。いくつかの実施形態において、単結晶層1222は、0~0.5μmの厚さを有してもよい。単結晶層1222は、エピタキシャル材料1230を形成するためのエピタキシャル成長プロセス中の成長層としての使用に適している。エピタキシャル材料1230の結晶層は、単結晶層1222に関連する下層の半導体格子の延長部である。加工基板1200の特有のCTE整合特性は、既存の技術よりも厚いエピタキシャル材料1230の成長を可能にする。いくつかの実施形態では、エピタキシャル材料1230は、厚さが2μm~10μmの窒化ガリウム層を含み、オプトエレクトロニクスデバイス、パワーデバイスなどで利用される複数の層の1つとして利用してもよい。一実施形態では、接合層1220は、層転写プロセスを使用して酸化シリコンバリア層1218に取り付けられる単結晶シリコン層を含む。
[0079]図13は、本発明の一実施形態による加工基板構造1300を示す簡略化された概略図である。図13に示す加工基板1300は、様々な電子用途および光学用途に適している。加工基板1300は、加工基板1300上で成長するエピタキシャル材料1230の熱膨張係数(CTE)に実質的に一致するCTEを有し得るコア1310を含む。エピタキシャル材料1230は、加工基板構造の要素として必要ではないが、通常、加工基板構造上に成長するので、オプションとして示されている。
[0080]窒化ガリウム(GaN)ベースの材料(GaNベースの層を含むエピタキシャル層)の成長を含む用途の場合、コア1310は、多結晶窒化アルミニウム(AlN)などの多結晶セラミック材料であってもよい。コア1310の厚さは、100~1500μm程度、例えば725μmであってもよい。コア1310は、シェルまたはカプセル化シェルと呼ばれることがある第1の接着層1312内にカプセル化される。この実施態様では、第1の接着層1312はコアを完全にカプセル化するが、図14に関してさらに詳細に論じるように、本発明はこれを必要としない。
[0081]一実施形態では、第1の接着層1312は、厚さが1,000Å程度のテトラエチルオルトシリケート(TEOS)層を含む。他の実施形態では、第1の接着層1312の厚さは、例えば、100Åから2,000Åまで変化する。いくつかの実施形態では、接着層にTEOSが利用されるが、本発明の実施形態によれば、後に堆積される層と下部の層または材料との間の接着を提供する他の材料を利用してもよい。例えば、SiO、SiON等はセラミック材料によく接着し、そして、例えば、後続の導電性材料の堆積に適した表面を提供する。第1の接着層1312は、いくつかの実施形態ではコア1310を完全に取り囲んで完全にカプセル化されたコアを形成し、LPCVDプロセスを使用して形成することができる。接着層1312は、その上に後続の層が接着して加工基板構造の要素を形成する表面を提供する。
[0082]カプセル化接着層1312を形成するためのLPCVDプロセス、炉ベースのプロセスなどの使用に加えて、本発明の実施形態による他の半導体プロセスを利用することができる。一例として、コア1310の一部を被覆する堆積プロセス、例えば、CVD、PECVDなどが利用され、コア1310を裏返しにすることができ、堆積プロセスを繰り返してコアの追加部分を被覆することができる。
[0083]第1の接着層1312の少なくとも一部の上に導電層1314が形成される。一実施形態において、導電層1314は、コア/接着層構造の下部(例えば、下半分または裏面)上に堆積プロセスによって形成されるポリシリコン(すなわち、多結晶シリコン)を含む。導電層1314がポリシリコンである実施形態では、ポリシリコン層の厚さは、数千オングストローム程度、例えば3000Åであってもよい。いくつかの実施形態では、ポリシリコン層はLPCVDプロセスを使用して形成されてもよい。
[0084]一実施形態では、導電層1314は、高導電性材料を提供するためにドープされたポリシリコン層であり、例えば、導電層1314はホウ素でドープされてp型ポリシリコン層を提供する。いくつかの実施形態において、ホウ素によるドーピングは、高い導電性を提供するために約1×1019cm-3~1×1020cm-3の範囲のレベルである。導電層1314の存在は、加工基板を半導体処理ツール、例えば静電チャック(ESC)を有するツールに静電チャックする際に有用である。導電層1314は、処理後の迅速なチャッキング解除を可能にする。したがって、本発明の実施形態は、従来のシリコンウェハで利用される方法で処理され得る基板構造を提供する。当業者は、多くの変形、修正、および代替案を認識するであろう。
[0085]第2の接着層1316(例えば、第2のTEOS層)は、導電層1314(例えば、ポリシリコン層)を取り囲むように形成される。第2の接着層1316の厚さは1000Å程度である。第2の接着層1316は、いくつかの実施形態において、導電層1314および第1の接着層1312を完全に取り囲んで、完全にカプセル化された構造を形成してもよく、そしてLPCVDプロセスを使用して形成してもよい。他の実施形態では、第2の接着層1316は、導電層1314を部分的にのみ取り囲み、例えば、導電層1314の上面と位置合わせされ得る平面1317によって示される位置で終端する。この例では、導電層1314の上面はバリア層1318の一部と接触することになる。当業者は、多くの変形、修正、および代替案を認識するであろう。
[0086]第2の接着層1316を取り囲むようにバリア層1318(例えば、窒化ケイ素層)が形成される。いくつかの実施形態では、バリア層1318の厚さは4,000Å~5,000Å程度である。いくつかの実施形態では、バリア層1318は、第2の接着層1316を完全に取り囲んで完全にカプセル化された構造を形成し、そしてLPCVDプロセスを使用して形成してもよい。
[0087]いくつかの実施形態では、窒化ケイ素バリア層の使用により、コア1310内に存在する元素、例えば、酸化イットリウム(すなわち、イットリア)、酸素、金属不純物、他の微量元素などが、例えば、高温(例えば、1000℃)エピタキシャル成長プロセス中に、加工基板が存在する可能性のある半導体処理チャンバ環境中へ拡散および/またはガス放出することが防止される。本明細書に記載のカプセル化層を利用して、非クリーンルーム環境向けに設計された多結晶AlNを含むセラミック材料を、半導体プロセスフローおよびクリーンルーム環境で利用することができる。
[0088]図14は、本発明の別の実施形態による加工基板構造を示す簡略化された概略図である。図14に示す実施形態では、第1の接着層1412がコア1410の少なくとも一部の上に形成されているが、コア1410をカプセル化していない。この実施態様において、第1の接着層1412は、以下により完全に説明されるように、後に形成される導電層1414の接着を強化するために、コア1410の下面(コア1410の裏側)に形成される。接着層1412は図14のコア1410の下面にのみ示されているが、コア1410の他の部分への接着層材料の堆積は、加工基板構造の性能に悪影響を与えず、様々な実施形態において存在し得ることが理解されるであろう。当業者は、多くの変形、修正、および代替案を認識するであろう。
[0089]導電層1414は、第1の接着層1412およびコア1410をカプセル化しないが、第1の接着層1412と実質的に整列している。導電層1414は、第1の接着層1412の底部または裏面に沿って、そして側面の一部に沿って延びるように示されているが、本発明は、垂直方向に沿った延長部分を必要としない。したがって、実施形態は、基板構造の片側への堆積、基板構造の片側のマスキングなどを利用してもよい。導電層1414は、第1の接着層1412の片側、例えば底部/裏面の一部に形成されてもよい。導電層1414は、加工基板構造の片側に電気伝導を提供し、これは、RF用途および高電力用途で有利であり得る。導電層1414は、図13の導電層1314に関連して説明したように、ドープされたポリシリコンを含むことができる。
[0090]コア1410の一部、第1の接着層1412の一部、および導電層1414は第2の接着層1416で覆われ、下部の材料に対するバリア層1418の接着を強化する。バリア層1418は、上述のように、下層からの拡散を防ぐためにカプセル化構造を形成する。
[0091]半導体ベースの導電層に加えて、他の実施形態では、導電層1414は金属層、例えば500Åのチタンなどである。
[0092]再度、図14を参照すると、実施態様に応じて、1つ以上の層を除去することができる。例えば、層1412および1414は、単一の接着シェル1416およびバリア層1418のみを残して除去されてもよい。別の実施形態では、層1414のみが除去されてもよい。この実施形態では、層1412はまた、層1418の頂部に堆積された、層1220によって引き起こされる応力とウェハの反りとのバランスをとることができる。コア1410の上面に絶縁層を有する(例えば、コア1410と層1220との間に絶縁層のみを有する)基板構造の構成は、電力用途/RF用途に利益をもたらすであろうし、高絶縁基板が望ましい。
[0093]別の実施形態では、バリア層1418はコア1410を直接にカプセル化し、その後に導電層1414および後続の接着層1416が続いてもよい。この実施形態では、層1220は上面から接着層1416上に直接堆積してもよい。さらに別の実施形態では、接着層1416をコア1410上に堆積し、続いてバリア層1418を堆積し、次に導電層1414、および別の接着層1412を堆積してもよい。
[0094]図15は、本発明の実施形態による垂直ショットキーダイオードを形成する方法1500を示す簡略化されたフローチャートである。方法1500を利用して、基板上に成長したエピタキシャル層の1つ以上にCTEが適合した基板を製造することができる。方法1500は、多結晶セラミックコア(1510)を提供することにより支持構造を形成すること、多結晶セラミックコアをシェル(1512)(例えば、テトラエチルオルトシリケート(TEOS)酸化物シェル)を形成する第1の接着層内にカプセル化すること、第1の接着層を導電性シェル(1514)(例えば、ポリシリコンシェル)内にカプセル化することを含む。第1の接着層は、TEOS酸化物の単一層として形成されてもよい。導電性シェルは、ポリシリコンの単一層として形成されてもよい。
[0095]方法1500はまた、導電性シェルを第2の接着層(1516)内にカプセル化すること(例えば、第2のTEOS酸化物シェル)および第2の接着層をバリア層シェル内にカプセル化すること(1518)を含む。第2の接着層は、TEOS酸化物の単一層として形成されてもよい。バリア層シェルは、窒化ケイ素の単一層として形成されてもよい。
[0096]一旦、支持構造がプロセス1510~1518によって形成されると、方法1500はさらに、接合層(例えば、酸化シリコン層)を支持構造に接合すること(1520)、および実質的に単結晶の層、例えば単結晶シリコン層を酸化シリコン層(1522)へ接合することを含む。本発明の実施形態によれば、SiC、サファイア、GaN、AlN、SiGe、Ge、ダイヤモンド、Ga、ZnOなどを含む他の実質的に単結晶の層を使用してもよい。接合層の接合は、結合材料の堆積と、それに続く本明細書に記載の平坦化プロセスを含んでもよい。後述する実施形態では、実質的に単結晶の層(例えば、単結晶シリコン層)を接合層に接合することは、層がシリコンウェハから転写される単結晶シリコン層である層転写プロセスを利用する。
[0097]図12を参照すると、接合層1220は、厚い(例えば、厚さ4μm)酸化物層の堆積、それに続いて、酸化物を約1.5μmの厚さまで薄くするための化学機械研磨(CMP)プロセスによって形成される。
初期の厚い酸化物は、多結晶コアの製造後に存在することがあり、図12に示すカプセル化層が形成されるときに存在し続けることがある、支持構造上に存在するボイドおよび表面フィーチャを埋めるのに役立つ。酸化物層はまた、デバイスの誘電体層としても機能する。CMPプロセスは、ボイド、パーティクル、または他のフィーチャがない実質的に平坦な表面を提供し、次いで、単結晶層1222(例えば、単結晶シリコン層)を接合層1220に結合するために、ウェハ移送プロセス中に使用され得る。接合層は、原子的に平坦な表面によって特徴付けられる必要はないが、所望の信頼性を有する単結晶層(例えば、単結晶シリコン層)の結合を支持するであろう実質的に平坦な表面を提供すべきである。
[0098]単結晶層1222(例えば、単結晶シリコン層)を接合層1220に接合するために、層転写プロセスが使用される。いくつかの実施形態において、実質的に単結晶の層1222(例えば、単結晶シリコン層)を含むシリコンウェハが、劈開面を形成するために注入される。この実施形態では、ウェハ結合後、シリコン基板は、劈開面より下の単結晶シリコン層の一部とともに除去され、剥離した単結晶シリコン層が得られる。単結晶層1222の厚さは、様々な用途の仕様を満たすように変えてもよい。さらに、単結晶層1222の結晶方位は、用途の仕様を満たすように変えてもよい。さらに、単結晶層のドーピングレベルとプロファイルは、特定の用途の仕様を満たすように変えてもよい。いくつかの実施形態では、注入の深さは、単結晶層1222の所望の最終厚さよりも厚くなるように調整してもよい。追加の厚さにより、損傷を受けている転写された実質的に単結晶の層の薄い部分の除去が可能になり、所望の最終厚さの無損傷部分が残る。いくつかの実施形態では、高品質のエピタキシャル成長のために表面粗さを修正することができる。当業者は、多くの変形、修正、および代替案を認識するであろう。
[0099]いくつかの実施形態では、単結晶層1222は、後続の1つ以上のエピタキシャル層の成長のために高品質の格子テンプレートを提供するのに十分な厚さであるが、高度に適合するのに十分な薄さである。単結晶層1222が比較的薄く、その物理的特性があまり制約されず、結晶欠陥を発生させる傾向がより低く、それを取り囲む材料の特性を模倣することができる場合に、単結晶層1222は、「適合する」と言える。単結晶層1222の適合性は、単結晶層1222の厚さに反比例する可能性がある。適合性が高いと、テンプレート上で成長したエピタキシャル層の欠陥密度が低くなり、エピタキシャル層をより厚く成長させることができる。いくつかの実施形態において、単結晶層1222の厚さは、剥離されたシリコン層上にシリコンをエピタキシャル成長させることによって増加させることができる。
[0100]いくつかの実施形態では、単結晶層1222の最終的な厚さの調整については、剥離シリコン層の上部の熱酸化、それに続くフッ化水素(HF)酸による酸化物層ストリップを介して実現することができる。例えば、0.5μmの初期厚さを有する剥離シリコン層を熱酸化して、厚さ約420nmの二酸化シリコン層を形成することができる。成長した熱酸化物を除去した後、転写層の残りのシリコンの厚さは約53nmであってもよい。熱酸化中に、注入された水素は表面に向かって移動することがある。したがって、後続の酸化物層ストリップはいくらかの損傷を除去することができる。また、熱酸化は、通常、1000℃以上の温度で行われる。高温はまた、格子損傷を修復することもある。
[0101]熱酸化中に単結晶層の上部に形成された酸化ケイ素層は、HF酸エッチングを使用して剥離することができる。HF酸による酸化ケイ素とケイ素(SiO:Si)との間のエッチング選択性は、HF溶液の温度および濃度ならびに酸化ケイ素の化学量論および密度を調整することによって調整することができる。エッチング選択性は、ある材料の他の材料に対するエッチング速度を指す。HF溶液の選択性は、(SiO2:Si)に対して約10:1~約100:1の範囲であり得る。高いエッチング選択性は、初期の表面粗さから同様の要因で表面粗さを減少させる可能性がある。しかしながら、結果として得られる単結晶層152の表面粗さは、依然として所望よりも大きい場合がある。例えば、バルクSi(111)表面は、追加処理の前に2μm×2μm原子間力顕微鏡(AFM)スキャンによって決定されるように、二乗平均平方根(RMS)表面粗さが0.1nm未満であってもよい。いくつかの実施形態では、Si(111)上に窒化ガリウム材料をエピタキシャル成長させるための所望の表面粗さは、例えば、30μm×30μmのAFMスキャン領域上で1nm未満、0.5nm未満、または0.2nm未満であってもよい。
[0102]熱酸化および酸化物層剥離後の単結晶層1222の表面粗さが所望の表面粗さを超える場合、追加の表面平滑化を実施してもよい。シリコン表面を平滑化する方法はいくつかある。これらの方法は、水素アニーリング、レーザトリミング、プラズマ平滑化、およびタッチポリッシュ(例えば、CMP)を含んでもよい。これらの方法は、高アスペクト比の表面ピークの優先的攻撃を含む可能性がある。したがって、表面上の高アスペクト比のフィーチャは、低アスペクト比のフィーチャよりも迅速に除去される可能性があり、したがってより滑らかな表面が得られる。
[0103]図15に示す特定のステップは、本発明の実施形態による垂直ショットキーダイオードを形成する特定の方法を提供することを理解すべきである。代替の実施形態によれば、ステップの他のシーケンスも実行することができる。例えば、本発明の代替実施形態は、上記で概説したステップを異なる順序で実行してもよい。さらに、図15に示される個々のステップは、個々のステップに適切な様々なシーケンスで実行され得る複数のサブステップを含んでもよい。さらに、特定の用途に応じて追加のステップを追加または削除してもよい。当業者は、多くの変形、修正、および代替案を認識するであろう。
[0104]いくつかの実施形態を層に関して説明したが、層という用語は、層が関心のある層を形成するために構築されるいくつかの副層を含むことができるように理解されるべきである。したがって、層という用語は、単一材料からなる単一層を意味するのではなく、所望の構造を形成するために複合的に積層された1つ以上の材料を包含することを意図している。当業者は、多くの変形、修正、および代替案を認識するであろう。
[0105]また、本明細書に記載の実施例および実施形態は例示のみを目的とするものであり、しかも、その観点から様々な修正または変更は、当業者に示唆され、本出願および添付の特許請求の範囲の精神および範囲内に含まれるということも理解される。以下に、発明の例を示す。
[例1]
垂直ショットキーダイオードであって、
オーミック接点と、
前記オーミック接点に物理的に接触し、第1のドーピング濃度を有する第1のエピタキシャルN型窒化ガリウム層と、
前記第1のエピタキシャルN型窒化ガリウム層に物理的に接触し、前記第1のドーピング濃度よりも低い第2のドーピング濃度を有する第2のエピタキシャルN型窒化ガリウム層と、
前記第2のエピタキシャルN型窒化ガリウム層に結合され、前記第2のエピタキシャルN型窒化ガリウム層の一部によって互いに分離された第1のエッジ終端領域および第2のエッジ終端領域と、
前記第2のエピタキシャルN型窒化ガリウム層の前記一部と、前記第1のエッジ終端領域および前記第2のエッジ終端領域に結合されたショットキー接点とを
備える垂直ショットキーダイオード。
[例2]
はんだを介して前記オーミック接点に取り付けられた金属タブをさらに備える、例1に記載の垂直ショットキーダイオード。
[例3]
前記第2のエピタキシャルN型窒化ガリウム層は、約10μm~約25μmの範囲の厚さを有する、例1に記載の垂直ショットキーダイオード。
[例4]
前記第2のエピタキシャルN型窒化ガリウム層は、前記第1のエピタキシャルN型窒化ガリウム層との界面にエピタキシャル界面層を備える、例1に記載の垂直ショットキーダイオード。
[例5]
前記第1のドーピング濃度が約1×10 18 cm -3 ~約5×10 18 cm -3 の範囲である、例1に記載の垂直ショットキーダイオード。
[例6]
前記第2のドーピング濃度が約2×10 15 cm -3 ~約1×10 16 cm -3 の範囲である、例5に記載の垂直ショットキーダイオード。
[例7]
前記第1のエッジ終端領域および前記第2のエッジ終端領域の各々は、マグネシウム(Mg)でドープされた窒化ガリウムを含む、例1に記載の垂直ショットキーダイオード。
[例8]
前記第1のエッジ終端領域と前記第2のエッジ終端領域との間の前記第2のエピタキシャルN型窒化ガリウム層の前記一部内に複数の接合障壁ショットキー(JBS)グリッド領域をさらに備え、前記複数のJBSグリッド領域は前記ショットキー接点に結合される、例1に記載の垂直ショットキーダイオード。
[例9]
前記複数のJBSグリッド領域の各々は、マグネシウム(Mg)がドープされた窒化ガリウムを含む、例8に記載の垂直ショットキーダイオード。
[例10]
前記第1のエッジ終端領域および前記第2のエッジ終端領域の各々は、約2×10 15 cm -3 ~約5×10 16 cm -3 の範囲の第3のドーピング濃度を有する単一ゾーンを備える、例1に記載の垂直ショットキーダイオード。
[例11]
前記第1のエッジ終端領域および前記第2のエッジ終端領域の各々は、第1のゾーンおよび第2のゾーンを含み、前記第1のゾーンは、約2×10 15 cm -3 ~約5×10 16 cm -3 の範囲の第3のドーピング濃度を有し、前記第2のゾーンは、約5×10 16 cm -3 ~約5×10 17 cm -3 の範囲の第4のドーピング濃度を有する、例1に記載の垂直ショットキーダイオード。
[例12]
垂直ショットキーダイオードを形成する方法であって、
多結晶セラミックコアと、
前記多結晶セラミックコアをカプセル化するバリア層と、
前記バリア層に結合された接合層と、
前記接合層に結合された実質的に単結晶のシリコン層とを備える加工基板を提供するステップと、
前記実質的に単結晶のシリコン層に結合され、第1のドーピング濃度を有する第1のエピタキシャルN型窒化ガリウム層を形成するステップと、
前記第1のエピタキシャルN型窒化ガリウム層に結合され、前記第1のドーピング濃度よりも低い第2のドーピング濃度を有する第2のエピタキシャルN型窒化ガリウム層を形成するステップと、
前記第2のエピタキシャルN型窒化ガリウム層に結合され、前記第2のエピタキシャルN型窒化ガリウム層の一部によって互いに分離された第1のエッジ終端領域および第2のエッジ終端領域を形成するステップと、
前記第2のエピタキシャルN型窒化ガリウム層の前記一部と、前記第1のエッジ終端領域および前記第2のエッジ終端領域とに結合されたショットキー接点を形成するステップと、
前記加工基板を除去し、前記第1のエピタキシャルN型窒化ガリウム層の裏面を露出させるステップと、
前記第1のエピタキシャルN型窒化ガリウム層の前記裏面に結合されたオーミック接点を形成するステップとを含む、方法。
[例13]
はんだを介して前記オーミック接点に取り付けられた金属タブを形成するステップをさらに含む、例12に記載の方法。
[例14]
前記第2のエピタキシャルN型窒化ガリウム層は、約10μm~約25μmの範囲の厚さを有する、例12に記載の方法。
[例15]
前記第1のエッジ終端領域および前記第2のエッジ終端領域を形成するステップは、前記第2のエピタキシャルN型窒化ガリウム層の第1の部分および第2の部分にマグネシウム(Mg)をイオン注入するステップを含む、例12に記載の方法。
[例16]
前記第1のエッジ終端領域と前記第2のエッジ終端領域との間の前記第2のエピタキシャルN型窒化ガリウム層の前記一部に複数の接合障壁ショットキー(JBS)グリッド領域を形成するステップをさらに含み、前記複数のJBSグリッド領域は前記ショットキー接点に結合される、例12に記載の方法。
[例17]
前記複数のJBSグリッド領域を形成するステップは、マグネシウム(Mg)イオン注入により前記第2のエピタキシャルN型窒化ガリウム層の前記一部を選択的領域ドーピングするステップを含む、例16に記載の方法。
[例18]
垂直ショットキーダイオードであって、
金属タブと、
前記金属タブに結合されたオーミック接点と、
前記オーミック接点に電気的に接触し、第1のドーピング濃度を有する第1のエピタキシャルN型窒化ガリウム層と、
前記第1のエピタキシャルN型窒化ガリウム層に物理的に接触し、前記第1のドーピング濃度よりも低い第2のドーピング濃度を有する第2のエピタキシャルN型窒化ガリウム層と、
前記第2のエピタキシャルN型窒化ガリウム層の一部に結合されたショットキー接点であって、前記ショットキー接点の断面が、前記第2のエピタキシャルN型窒化ガリウム層に近い領域で狭く、前記第2のエピタキシャルN型窒化ガリウム層から遠い第2の領域で広くなるような階段状構造を有するショットキー接点とを
備える、垂直ショットキーダイオード。
[例19]
前記第2のエピタキシャルN型窒化ガリウム層は、約10μm~約25μmの範囲の厚さを有する、例18に記載の垂直ショットキーダイオード。
[例20]
前記第1のドーピング濃度が約1×10 18 ~約5×10 18 の範囲である、例18に記載の垂直ショットキーダイオード。
[例21]
前記第2のドーピング濃度が約2×10 15 ~約1×10 16 の範囲である、例20に記載の垂直ショットキーダイオード。

Claims (6)

  1. 垂直ショットキーダイオードを形成する方法であって、
    多結晶セラミックコアと、
    前記多結晶セラミックコアをカプセル化するバリア層と、
    前記バリア層に結合された接合層と、
    前記接合層に結合された単結晶のシリコン層とを備える加工基板を提供するステップと、
    前記単結晶のシリコン層に結合され、第1のドーピング濃度を有する第1のエピタキシャルN型窒化ガリウム層を形成するステップと、
    前記第1のエピタキシャルN型窒化ガリウム層に結合され、前記第1のドーピング濃度よりも低い第2のドーピング濃度を有する第2のエピタキシャルN型窒化ガリウム層を形成するステップと、
    前記第2のエピタキシャルN型窒化ガリウム層に結合され、前記第2のエピタキシャルN型窒化ガリウム層の一部によって互いに分離された第1のエッジ終端領域および第2のエッジ終端領域を形成するステップと、
    前記第2のエピタキシャルN型窒化ガリウム層の前記一部と、前記第1のエッジ終端領域および前記第2のエッジ終端領域とに結合されたショットキー接点を形成するステップと、
    前記加工基板を除去し、前記第1のエピタキシャルN型窒化ガリウム層の裏面を露出させるステップと、
    前記第1のエピタキシャルN型窒化ガリウム層の前記裏面に結合されたオーミック接点を形成するステップとを含む、方法。
  2. はんだを介して前記オーミック接点に取り付けられた金属タブを形成するステップをさらに含む、請求項に記載の方法。
  3. 前記第2のエピタキシャルN型窒化ガリウム層は、10μm~25μmの範囲の厚さを有する、請求項に記載の方法。
  4. 前記第1のエッジ終端領域および前記第2のエッジ終端領域を形成するステップは、前記第2のエピタキシャルN型窒化ガリウム層の第1の部分および第2の部分にマグネシウム(Mg)をイオン注入するステップを含む、請求項に記載の方法。
  5. 前記第1のエッジ終端領域と前記第2のエッジ終端領域との間の前記第2のエピタキシャルN型窒化ガリウム層の前記一部に複数の接合障壁ショットキー(JBS)グリッド領域を形成するステップをさらに含み、前記複数のJBSグリッド領域は前記ショットキー接点に結合される、請求項に記載の方法。
  6. 前記複数のJBSグリッド領域を形成するステップは、マグネシウム(Mg)イオン注入により前記第2のエピタキシャルN型窒化ガリウム層の前記一部を選択的領域ドーピングするステップを含む、請求項に記載の方法。
JP2019553299A 2017-03-29 2018-03-27 垂直窒化ガリウムショットキーダイオード Active JP7190245B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762478483P 2017-03-29 2017-03-29
US62/478,483 2017-03-29
US15/936,305 US10411108B2 (en) 2017-03-29 2018-03-26 Vertical gallium nitride Schottky diode
US15/936,305 2018-03-26
PCT/US2018/024629 WO2018183374A1 (en) 2017-03-29 2018-03-27 Vertical gallium nitride schottky diode

Publications (2)

Publication Number Publication Date
JP2020512698A JP2020512698A (ja) 2020-04-23
JP7190245B2 true JP7190245B2 (ja) 2022-12-15

Family

ID=63670882

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019553299A Active JP7190245B2 (ja) 2017-03-29 2018-03-27 垂直窒化ガリウムショットキーダイオード

Country Status (8)

Country Link
US (2) US10411108B2 (ja)
EP (1) EP3602635A4 (ja)
JP (1) JP7190245B2 (ja)
KR (1) KR20190133232A (ja)
CN (1) CN110582852B (ja)
SG (1) SG11201908769TA (ja)
TW (1) TWI803485B (ja)
WO (1) WO2018183374A1 (ja)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102275146B1 (ko) * 2019-05-20 2021-07-08 파워큐브세미 (주) 쇼트키 다이오드 및 그의 제조방법
CN110676308B (zh) * 2019-10-12 2022-12-20 中国电子科技集团公司第十三研究所 肖特基二极管的制备方法
CN111146294B (zh) * 2019-12-05 2023-11-07 中国电子科技集团公司第十三研究所 肖特基二极管及其制备方法
CN114864760A (zh) * 2021-02-03 2022-08-05 厦门三安光电有限公司 微型发光二极管和显示面板
CN114141884A (zh) * 2021-12-14 2022-03-04 上海集成电路制造创新中心有限公司 可重构肖特基二极管
WO2024137722A2 (en) * 2022-12-19 2024-06-27 Peiching Ling Semiconductor structures and memory devices and methods for manufacturing the same

Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020096106A1 (en) 2001-01-19 2002-07-25 Kub Francis J. Electronic device with composite substrate
JP2010087483A (ja) 2008-09-08 2010-04-15 Mitsubishi Electric Corp 半導体装置
JP2013232564A (ja) 2012-04-27 2013-11-14 National Institute Of Advanced Industrial & Technology 半導体装置および半導体装置の製造方法
WO2014080820A1 (ja) 2012-11-26 2014-05-30 住友電気工業株式会社 ショットキーバリアダイオードおよびその製造方法
US20140183543A1 (en) 2012-12-28 2014-07-03 Avogy, Inc. Method and system for co-packaging gallium nitride electronics
US20140183442A1 (en) 2013-01-02 2014-07-03 Micron Technology, Inc. Engineered substrate assemblies with epitaxial templates and related systems, methods, and devices
JP2014527707A (ja) 2011-06-30 2014-10-16 ソイテック シリコンまたは類似の基板上に窒化ガリウムの厚いエピタキシャル層を形成するための方法、およびこの方法を使用して得られる層
JP2015026669A (ja) 2013-07-25 2015-02-05 住友電気工業株式会社 窒化物半導体装置
JP2016502763A (ja) 2012-11-27 2016-01-28 クリー インコーポレイテッドCree Inc. ショットキーダイオード及びショットキーダイオードの製造方法
JP2016502761A (ja) 2012-11-20 2016-01-28 クリー インコーポレイテッドCree Inc. ショットキーダイオード及びその製造方法

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002305309A (ja) 2001-02-01 2002-10-18 Hitachi Ltd 半導体装置およびその製造方法
JP5037003B2 (ja) * 2005-11-25 2012-09-26 一般財団法人電力中央研究所 ショットキーバリアダイオードおよびその使用方法
JP2007305609A (ja) * 2006-04-10 2007-11-22 Matsushita Electric Ind Co Ltd 半導体装置
US7595241B2 (en) 2006-08-23 2009-09-29 General Electric Company Method for fabricating silicon carbide vertical MOSFET devices
TW200921776A (en) * 2007-11-09 2009-05-16 Powertech Technology Inc Wafer cutting method, die structure and its multi-die package method
US9099547B2 (en) * 2011-10-04 2015-08-04 Infineon Technologies Ag Testing process for semiconductor devices
US8772901B2 (en) * 2011-11-11 2014-07-08 Alpha And Omega Semiconductor Incorporated Termination structure for gallium nitride schottky diode
US8927999B2 (en) 2011-11-21 2015-01-06 Avogy, Inc. Edge termination by ion implantation in GaN
US8716716B2 (en) * 2011-12-22 2014-05-06 Avogy, Inc. Method and system for junction termination in GaN materials using conductivity modulation
JP5973470B2 (ja) * 2012-01-30 2016-08-23 パナソニック株式会社 半導体装置
US8981432B2 (en) * 2012-08-10 2015-03-17 Avogy, Inc. Method and system for gallium nitride electronic devices using engineered substrates
US20140048903A1 (en) * 2012-08-15 2014-02-20 Avogy, Inc. Method and system for edge termination in gan materials by selective area implantation doping
JP5818853B2 (ja) * 2013-10-15 2015-11-18 株式会社トクヤマ n型窒化アルミニウム単結晶基板を用いた縦型窒化物半導体デバイス
DE112015003542B4 (de) * 2014-08-01 2022-09-15 Tokuyama Corporation n-Aluminiumnitrid-Einkristallsubstrat und dessen Verwendung für vertikale Nitrid-Halbleiterbauelemente
US10153276B2 (en) * 2014-12-17 2018-12-11 Infineon Technologies Austria Ag Group III heterojunction semiconductor device having silicon carbide-containing lateral diode
US9666677B1 (en) * 2014-12-23 2017-05-30 Soraa Laser Diode, Inc. Manufacturable thin film gallium and nitrogen containing devices
US10297445B2 (en) 2016-06-14 2019-05-21 QROMIS, Inc. Engineered substrate structure for power and RF applications
KR102582390B1 (ko) 2016-06-24 2023-09-25 큐로미스, 인크 다결정성 세라믹 기판 및 그 제조 방법

Patent Citations (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020096106A1 (en) 2001-01-19 2002-07-25 Kub Francis J. Electronic device with composite substrate
JP2010087483A (ja) 2008-09-08 2010-04-15 Mitsubishi Electric Corp 半導体装置
JP2014527707A (ja) 2011-06-30 2014-10-16 ソイテック シリコンまたは類似の基板上に窒化ガリウムの厚いエピタキシャル層を形成するための方法、およびこの方法を使用して得られる層
JP2013232564A (ja) 2012-04-27 2013-11-14 National Institute Of Advanced Industrial & Technology 半導体装置および半導体装置の製造方法
JP2016502761A (ja) 2012-11-20 2016-01-28 クリー インコーポレイテッドCree Inc. ショットキーダイオード及びその製造方法
WO2014080820A1 (ja) 2012-11-26 2014-05-30 住友電気工業株式会社 ショットキーバリアダイオードおよびその製造方法
JP2016502763A (ja) 2012-11-27 2016-01-28 クリー インコーポレイテッドCree Inc. ショットキーダイオード及びショットキーダイオードの製造方法
US20140183543A1 (en) 2012-12-28 2014-07-03 Avogy, Inc. Method and system for co-packaging gallium nitride electronics
US20140183442A1 (en) 2013-01-02 2014-07-03 Micron Technology, Inc. Engineered substrate assemblies with epitaxial templates and related systems, methods, and devices
JP2015026669A (ja) 2013-07-25 2015-02-05 住友電気工業株式会社 窒化物半導体装置

Also Published As

Publication number Publication date
US20180286964A1 (en) 2018-10-04
JP2020512698A (ja) 2020-04-23
TWI803485B (zh) 2023-06-01
EP3602635A1 (en) 2020-02-05
SG11201908769TA (en) 2019-10-30
WO2018183374A1 (en) 2018-10-04
TW201838194A (zh) 2018-10-16
KR20190133232A (ko) 2019-12-02
CN110582852B (zh) 2024-05-17
US10411108B2 (en) 2019-09-10
US20190334015A1 (en) 2019-10-31
CN110582852A (zh) 2019-12-17
EP3602635A4 (en) 2020-12-23

Similar Documents

Publication Publication Date Title
JP7416556B2 (ja) 電力およびrf用途用の設計された基板構造
JP7190245B2 (ja) 垂直窒化ガリウムショットキーダイオード
TWI767741B (zh) 與工程基板整合之電力元件
JP7105239B2 (ja) パワーデバイス用の窒化ガリウムエピタキシャル構造
CN110036485B (zh) 具有集成型钳位二极管的横向高电子迁移率的晶体管
JP7328234B2 (ja) 窒化ガリウム材料中の拡散によりドープ領域を形成するための方法およびシステム
JP7314134B2 (ja) 加工基板上の集積デバイスのためのシステムおよび方法
KR102532814B1 (ko) 종형 전력 디바이스를 위한 방법 및 시스템

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210326

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220314

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220408

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220707

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20221104

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20221203

R150 Certificate of patent or registration of utility model

Ref document number: 7190245

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150