JP7159285B2 - 金属表面上にブロッキング層を堆積させるための方法 - Google Patents

金属表面上にブロッキング層を堆積させるための方法 Download PDF

Info

Publication number
JP7159285B2
JP7159285B2 JP2020502385A JP2020502385A JP7159285B2 JP 7159285 B2 JP7159285 B2 JP 7159285B2 JP 2020502385 A JP2020502385 A JP 2020502385A JP 2020502385 A JP2020502385 A JP 2020502385A JP 7159285 B2 JP7159285 B2 JP 7159285B2
Authority
JP
Japan
Prior art keywords
substrate
metal surface
metal
dielectric
blocking layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2020502385A
Other languages
English (en)
Other versions
JP2020527866A (ja
Inventor
バスカー ジョティー ブヤン
マーク サリー
ラクマル シー カルタラジ
トーマス ニズリー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2020527866A publication Critical patent/JP2020527866A/ja
Priority to JP2022163912A priority Critical patent/JP7423724B2/ja
Application granted granted Critical
Publication of JP7159285B2 publication Critical patent/JP7159285B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/308Oxynitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

本開示の実施形態は、金属表面上にブロッキング層を堆積させるための方法に関する。より詳細には、本開示の実施形態は、金属表面上にブロッキング層を堆積させて、誘電体表面上のみへの窒化ケイ素の堆積を促進するための方法を対象とする。
半導体産業は、ナノスケール特徴の迅速なスケーリングを含む装置の小型化を追及して多くの課題に直面している。そのような問題には、複数のリソグラフィ工程などの複雑な製造工程の導入および高性能材料の集積化が含まれる。装置の小型化の流れを維持するためには、選択的堆積が、集積化スキームを簡素化することによって高価なリソグラフィ工程を削除する可能性があるため、有望である。
材料の選択的堆積は、種々の方法によって達成することができる。化学前駆体は、一つの表面と、もう一方の表面に比して選択的に反応することがある。圧力、基板温度、前駆体分圧、および/またはガス流などのプロセスパラメーターを調節して、特定の表面反応の化学反応速度を調節することがある。別の可能なスキームには、供給される膜堆積前駆体に対して対象表面を活性化または非活性化するために使用できる表面前処理が含まれる。
堆積選択性を改善するための方法が、当該技術分野で継続的に必要とされている。
本開示の1つまたは複数の実施形態は、ブロッキング層を選択的に堆積させる方法に関する。方法は、金属表面および誘電体表面を有する基板をシランに曝露して、金属表面上に選択的にブロッキング層を形成することを含み、シランは、一般式SiH3R(式中、Rは、C4-C20アルキル、パーフルオロアルキル、アルケニルまたはアルキニル基から選択される)を有する少なくとも1種の化合物を含む。
本開示の別の実施形態は、ブロッキング層を選択的に堆積させる方法に関する。方法は、金属表面および誘電体表面を有する基板をアルキンおよび窒素反応体に曝露して、金属表面上に選択的にブロッキング層を形成することを含み、窒素反応体は、アジドまたはニトリルオキシドを含む。
本開示の他の実施形態は、ブロッキング層を選択的に堆積させる方法に関する。方法は、金属表面および誘電体表面を有する基板をエポキシドに曝露して、金属表面上にブロッキング層を選択的に形成することを含む。
本開示の上述の特徴を詳細に理解できるように、上記で簡単に要約した本開示のより具体的な説明が、実施形態を参照することによって得られ、そのいくつかは添付の図面に示される。しかしながら、添付の図面は、本開示の代表的な実施形態のみを示しており、したがって、その範囲を限定すると見なされるべきではなく、本開示は他の等しく有効な実施形態を許容しうることに、留意されたい。
本開示の1つまたは複数の実施形態による、アジドおよびアルキンブロッキング剤の可能な数または反応基に関する一連の一般的な構造を示す図である。 本開示の1つまたは複数の実施形態による、金属表面の存在下でのモノマーおよび金属表面上に選択的にポリマーネットワークを生成するための環化反応の反応図である。 本開示の1つまたは複数の実施形態による、金属表面上へのポリマーブロッキング層および誘電体表面上への誘電体膜の選択的堆積を可能にするための一般的なプロセスフローを示す図である。 本開示の1つまたは複数の実施形態による、酸素系遠隔プラズマおよび水素系遠隔プラズマの使用によってポリマーブロッキング層を除去するためのプロセスの例を示す図である。 本開示の1つまたは複数の実施形態による、選択的堆積プロセスのプロセスフローを示す図である。
本開示の実施形態は、金属表面上にブロッキング層を堆積させるための方法を提供する。本開示の実施形態は、別々にまたは一緒に使用できるブロッキング層を堆積させるための方法を明らかにする。
本開示の実施形態は、金属表面上に堆積させたブロッキング層により金属表面上への誘電体材料の堆積をブロックすることによって、誘電体表面上に誘電体材料(例えばSiN)を有利に堆積させるための方法を提供する。
「基板表面」は、本明細書では、膜処理が行なわれる基板の任意の部分または基板上に形成された材料表面の一部を意味する。例えば、処理を行なうことができる基板表面には、用途に応じて、シリコン、酸化ケイ素、窒化ケイ素、ドープされたシリコン、ゲルマニウム、ヒ化ガリウム、ガラス、サファイアなどの材料、ならびに金属、金属窒化物、金属合金、および他の導電性材料などの任意の他の材料が含まれる。基板には、半導体ウェーハが含まれるが、それだけには限定されない。基板は、基板表面を研磨、エッチング、還元、酸化、水酸化、アニール、UV硬化、電子ビーム硬化および/またはベークするための前処理プロセスに曝露されてもよい。本開示では、基板自体の表面上の直接的な膜処理に加えて、開示された膜処理工程のいずれも、以下でより詳細に開示されるように、基板上に形成された下地層上で行なわれていてもよく、用語「基板表面」は、文脈が示すような下地層を含むものである。したがって、例えば、膜/層または部分的な膜/層が基板表面上に堆積された場合、新たに堆積された膜/層の露出表面が基板表面になる。基板は、200mmまたは300mm直径のウェーハ、ならびに、長方形または正方形ペインなどの種々の寸法を有していてもよい。いくつかの実施形態では、基板は、個別剛性材料を含む。
「原子層堆積」または「周期堆積」は、本明細書では、基板表面上に材料の層を堆積するために2つ以上の反応性化合物を順次曝露することを含むプロセスを意味する。本明細書および添付の特許請求の範囲では、用語「反応性化合物」、「反応性ガス」、「反応性化学種」、「前駆体」、「プロセスガス」などは、区別なく用いられて、表面反応(例えば、化学吸着、酸化、還元、付加環化)で基板表面または基板表面上の材料と反応できる化学種を有する物質を表す。基板、または基板の一部は、処理チャンバの反応ゾーンに導入されている、2つ以上の反応性化合物に順次曝露される。
本開示の実施形態は、有利には、金属表面(それだけには限らないが、銅、コバルト、タングステン、タンタル、窒化タンタル、酸化タンタル、チタン、酸化チタン、窒化チタン、ルテニウム、酸化ルテニウムおよびイリジウムなどを含む)の選択的なブロッキングなどの表面前処理のための方法を提供する。いくつかの実施形態は、有利には、SiO2、SiN、SiCON、SiCOなどの誘電体表面上で誘電体材料を選択的に成長させるための方法を提供する。いくつかの実施形態は、有利には、エポキシド表面反応を使用して表面堆積を選択的にブロックするための方法を提供する。
いくつかの実施形態では、金属ケイ化物は、誘電体表面と比して金属表面上に選択的に形成される。本明細書および添付の特許請求の範囲では、表現「と比して選択的に(selectively over)」などは、対象材料が、指定の表面上に別の表面よりも大きな範囲で堆積することを表す。いくつかの実施形態では、「選択的に」は、対象材料が、選択されていない表面上での形成速度の約10倍、15倍、20倍、25倍、30倍、35倍、40倍、45倍または50倍以上の速度で、選択された表面上に形成されることを表す。いくつかの実施形態では、トリヒドリドシラン(RSiH3、式中R=C4-C20)を含有する長いアルキル鎖を、ブロッキング分子として使用し、液相または気相中で金属表面(それだけには限らないが、Cu、Co、W、およびTiNを含む)と反応させる。いくつかの実施形態では、ブロッキング分子と反応させる前に金属表面を浄化する。オルガノシランは、シラン頭部基によって、誘電体(dielectic)表面(例えば、SiO2)と比して金属表面と選択的に反応する。シランの有機部分は、金属上の次の誘電体層(例えば、SiN)の成長をブロックし、誘電体表面上への誘電体の選択的堆積を可能にする疎水性保護層として働く。
本開示の1つまたは複数の実施形態は、金属表面および誘電体表面を有する基板の金属表面上にブロッキング層を選択的に堆積させる方法を対象とする。方法は、基板を、一般式SiH3R(式中、Rは、C4-C20アルキル、パーフルオロアルキル、アルケニルまたはアルキニル基から選択される)を有する少なくとも1種の化合物を含むシランに曝露することを含む。この方法で使用する場合、文字「C」とそれに続く数字(例えば、「C4」)は、置換基が、指定された数の炭素原子(例えば、C4は4個の炭素原子を含む)を含むことを表す。いくつかの実施形態では、置換基は、直鎖基(例えばn-ブチル)、分枝基(例えばt-ブチル)または環基((例えば、シクロヘキシル)であってよい。
基板の誘電体表面は、任意の適当な誘電体材料を含んでいてよい。適当な誘電体材料には、酸化物(例えば、酸化ケイ素)および高k誘電体が含まれるが、それだけには限定されない。いくつかの実施形態では、誘電体表面は、本質的に酸化ケイ素からなる。この方法で使用する場合、用語「本質的に~からなる」は、表面が、面積に基づいて、指定の材料約95%、98%または99%以上であることを表す。
基板の金属表面は、任意の適当な金属材料を含んでいてもよい。適当な金属材料には、金属、金属窒化物、金属合金、および他の導電性材料が含まれるが、それだけには限定されない。いくつかの実施形態では、金属表面は、コバルト、タングステンまたは窒化チタンのうちの1種または複数を含む。いくつかの実施形態では、金属表面は、本質的にコバルトからなる。いくつかの実施形態では、金属表面は、本質的にタングステンからなる。いくつかの実施形態では、金属表面は、本質的に窒化チタンからなる。
基板に曝露されるシランは、任意の適当なトリヒドリドシランを含んでいてもよい。いくつかの実施形態では、シランは、一般式SiH3R(式中、Rは、C4-C20アルキル、パーフルオロアルキル、アルケニルまたはアルキニル基から選択される)を有する少なくとも1種の化合物を含む。いくつかの実施形態では、C4-C20アルキル基は、本質的に、Si-C結合1つ、C-C一重結合およびC-H結合からなる。いくつかの実施形態では、C4-C20パーフルオロアルキル基は、本質的に、Si-C結合1つ、C-C一重結合およびC-F結合からなる。いくつかの実施形態では、C4-C20アルケニル基は、本質的に、Si-C結合1つ、C-C一重結合、C-C二重結合少なくとも1つおよびC-H結合からなる。いくつかの実施形態では、C4-C20アルキニル基は、本質的に、Si-C結合1つ、C-C一重結合、C-C三重結合少なくとも1つおよびC-H結合からなる。いくつかの実施形態では、C4-C20基は、1つまたは複数のハロゲン原子および/または疎水性部分を含む。
いくつかの実施形態では、シランは、C4-C20アルキル基を含む。いくつかの実施形態では、シランは、ドデシルシラン(C1225SiH3)を含む。いくつかの実施形態では、シランは、本質的にドデシルシランからなる。
いくつかの実施形態では、シラン基は、堆積後に互いに架橋する。いくつかの実施形態では、ブロッキング層は、シラン基間に実質的に架橋を含有しない。この方法で使用する場合、用語「実質的に架橋がない」は、表面積に基づいて約5%、2%または1%以下の架橋があることを表す。
いくつかの実施形態では、基板は、基板をシランに曝露する前に浄化する。いくつかの実施形態では、基板をシランに曝露する前に基板の金属表面のみを浄化する。いくつかの実施形態では、基板または基板の金属表面は、水素プラズマで浄化する。いくつかの実施形態では、水素プラズマは、導電結合プラズマ(CCP)である。いくつかの実施形態では、水素プラズマは、誘導結合プラズマ(ICP)である。いくつかの実施形態では、水素プラズマは、H2のプラズマを含む。
いくつかの実施形態では、誘電体層は、ブロッキング層の堆積後に、誘電体表面上に選択的に堆積される。いくつかの実施形態では、誘電体層は、窒化ケイ素を含む。窒化ケイ素の堆積は、任意の適当なプロセスによって行なうことができる。適当なプロセスは、基板をハロゲン化ケイ素およびアンモニアに曝露することを含んでいてもよい。適当なハロゲン化ケイ素には、ジクロロシラン(DCS)、トリクロロシラン(TCS)、テトラクロロシラン(SiCl4)、テトラブロモシラン(SiBr4)、テトラヨードシラン(SiI4)、およびヘキサクロロジシラン(HCDS)が含まれるが、それだけには限定されない。
いくつかの実施形態では、誘電体層の堆積後に基板をシランに曝露することを繰り返して、ブロッキング層を再生させる。いくつかの実施形態では、ブロッキング層を再生させた後に誘電体層を再度堆積させる。いくつかの実施形態では、基板のシランへの曝露および窒化ケイ素層の堆積は、窒化ケイ素(silcon)層が所定の厚さに達するまで繰り返される。
表面ブロッキング化学作用への曝露、またはブロッキング層の再生は、複数回の堆積サイクル後または膜厚が形成された後、1回行なうか、繰り返すことができる。いくつかの実施形態では、ブロッキング層が再生される前に、窒化ケイ素層を、厚さが約10Å~約50Åの範囲、または約12Å~約35Åの範囲または約15Å~約20Åの範囲で堆積させる。いくつかの実施形態では、窒化ケイ素層の厚さが50Å、75Å、100Åまたは150Å以上になるまで、基板のシランへの曝露および窒化ケイ素の堆積を繰り返す。
金属表面は、2~10分、100W、水素プラズマを使用して浄化して、自然酸化物濃度を低減させた。シランを基板温度200℃で堆積させた。金属ケイ化物の形成は、最初に水接触角(WCA)測定により調査した。より高い接触角は、疎水性表面(すなわち、ケイ化物の形成)を示した。WCAは、SiO2がドデシルシラン(DDS、R=C12)によってブロックされておらず、金属表面(すなわちCo、WおよびTiN)がブロックされたことを示した。表面浄化のための水素プラズマ処理が、金属ケイ化物の形成を促進させた。
熱および化学安定度試験の結果は、金属上のDDSブロッキングが、WおよびTiNでは200℃まで安定であり、Coでは330℃まで安定であったことを示した。DDS自己組織化単分子膜(SAM)は、ハロゲン化ケイ素(ジクロロシラン(DCS)、トリクロロシラン(TCS)、テトラクロロシラン(SiCl4)、テトラブロモシラン(SiBr4)、テトラヨードシラン(SiI4))およびNH3に耐えることができ、それはSiN ALDに使用される。
DDS SAM上に堆積したSiNの異なる厚さのWCAの調査は、15~20ÅのSiN成長後にSAMを再生させた場合、Co、WおよびTiN表面上で約50Åの最小厚さまで選択性を達成できることを示した。選択性は、SiN形成の間に再生サイクルの回数を繰り返すことによって広げることができる。DDSで処理した基板は、SiN(空気曝露により酸化してSiO2になる)がほとんど成長しなかったが、DDS未処理の基板は、約40~50Å SiNが成長したことが観察された。
いくつかの実施形態では、置換アジドまたはニトリルオキシドとアルキンを、銅表面の存在下で反応させてブロッキング層を形成させる。この反応は、新たに官能化された銅表面を不活性にする可能性があるか、または供給される膜堆積前駆体に対する反応性を促進する可能性がある表面結合化学種を形成する。例えば、アジドまたはニトリルオキシドは、銅金属の存在下でアルキンと反応して、トリアゾールまたはイソオキサゾール(それぞれアジドまたはニトリルオキシドの場合)を形成する。いくつかの実施形態では、トリメチルシリルアジドおよびトリメチルシリルアセチレンを、銅金属表面の存在下で反応させて、結果として表面結合トリアゾールを形成する。いくつかの実施形態では、置換アジドおよびアルキン前駆体は、気相中で順次基板に導入される。
いくつかの実施形態では、置換アジドまたはニトリルオキシドのいずれかと置換アルキンを、金属表面の存在下で反応させる。各分子(アジド、ニトリルオキシドおよびアルキン)、N上の反応性置換基の数は、1~4個の反応基の範囲であってよい。いくつかの実施形態では、反応基の数は、1よりも大きい。
図1を参照すると、アジドおよびアルキンの可能な数または反応基に関して、一連の一般的な構造を示している。基を一緒に保持する波線は、反応基を一緒に保持する任意の分子鎖であってよい(C系、Si系、あるいはB、P、N、OおよびSのような他の元素さえも)。
理論に束縛されるものではないが、これらのモノマーが金属表面の存在下にある場合、図2に示すように、部分が環化反応を受けて、金属表面上にポリマーネットワークを形成するが、誘電体表面には形成しないことが考えられる。ポリマーネットワークと金属表面との結合が、ポリマー上の窒素置換基によって、ならびに複素環のπ電子と金属表面との相互作用によって起こることが考えられる。
図3は、選択的堆積を可能にするための、本開示のいくつかの実施形態による一般的なプロセスフローを示す。フローは、約20℃~約600℃の範囲の温度で、液相、純粋、または気相法によって、アセチリド系(例えばアルキン)およびアジド系モノマーを基板に導入することから始まる。いくつかの実施形態では、金属表面は、表面に酸化物が少しもない初期状態の金属表面である。モノマー導入後、金属触媒トリアゾール形成によって、ポリマーネットワークが金属表面に形成され始める。金属触媒重合が完了した後、未反応のモノマーは、表面を溶媒(液相で行なった場合)で洗浄することによって、またはリアクタ内で不活性ガスパージを使用して除去することができる。誘電体上でのみ核形成する膜は、その後堆積させることができる。
プロセスフローが完了した後、ポリマー層は、選択的エッチングプロセスを用いて除去することができる。酸素系およびフッ素系エッチは、ここで堆積されたブロッキング層に類似した炭素系膜をエッチングすることが知られている。図4は、酸素系遠隔プラズマによってポリマーを除去する例を示す。この例では、ポリマーを除去するが金属表面も酸化する、酸素系遠隔プラズマエッチによってポリマーが除去されている。元の金属表面を回復させるために、金属酸化物を還元して金属に戻すことができる。いくつかの実施形態では、還元には、H2およびNH3プラズマへの曝露、ならびに/またはH2およびNH3熱アニールが含まれる。
いくつかの実施形態では、膜の堆積の一部がブロッキング層上に起こることがあり、欠陥(図5でノジュールと表記)をもたらし得る。1つまたは複数の実施形態では、ポリマーを欠陥と一緒に除去し、選択的成長を継続するために、重合反応を再度開始する。
本開示の1つまたは複数の実施形態は、金属表面および誘電体表面を有する基板の金属表面上にブロッキング層を選択的に堆積させる方法を対象とする。方法は、基板をアルキンおよび窒素反応体に曝露して、金属表面上に選択的にブロッキング層を形成させることを含み、窒素反応体は、アジドまたはニトリルオキシドを含む。
いくつかの実施形態では、金属表面は、銅を含む。いくつかの実施形態では、金属表面は、本質的に銅からなる。
いくつかの実施形態では、アルキンおよび窒素反応体を基板に同時に曝露させる。いくつかの実施形態では、曝露は、基板のアルキンおよび窒素反応体の両方を含む溶液への液相曝露によって行なわれる。いくつかの実施形態では、曝露は、基板のアルキンおよび窒素反応体の両方を含むガスへの気相曝露によって行なわれる。
いくつかの実施形態では、アルキンおよび窒素反応体は、順次基板に曝露される。いくつかの実施形態では、アルキンが、最初に基板に曝露される。いくつかの実施形態では、窒素反応体が、最初に基板に曝露される。いくつかの実施形態では、曝露は、基板のアルキンまたは窒素反応体のいずれかを含む溶液への液相曝露によって行なわれる。いくつかの実施形態では、曝露は、基板のアルキンまたは窒素反応体のいずれかを含むガスへの気相曝露によって行なわれる。いくつかの実施形態では、一方の曝露が、液相中であり、もう一方が気相中である。いくつかの実施形態では、基板は、前の反応体のパージ(気相)または洗浄(液相)をしてから他の反応体に曝露する。
いくつかの実施形態では、アルキンは、2つ以上のアルキン部分を含む。いくつかの実施形態では、アルキンは、2つまたは3つまたは4つ以上のアルキン部分を含む。いくつかの実施形態では、アルキンは、一般式SiR4(式中、各Rは、それぞれ独立にC1-C18アルキル、アリール、またはアルキニル基から選択され、ただし少なくとも1つのRは、アルキニルである)を有する少なくとも1種の化合物を含む。
いくつかの実施形態では、アルキンは、1種または複数の以下の化合物を含み、
Figure 0007159285000001
式中、各Rは、それぞれ独立にC1-C18アルキルまたはアリール基から選択される。
いくつかの実施形態では、窒素反応体は、アジドを含む。いくつかの実施形態では、窒素反応体は、本質的にアジドからなる。この方法で使用する場合、用語「本質的にアジドからなる」は、窒素反応体の反応性成分(例えば、不活性成分を含まない)が、分子に基づいて、約95%、98%または99%以上アジドであることを表す。いくつかの実施形態では、窒素反応体は、ニトリルオキシドを含む。いくつかの実施形態では、窒素反応体は、本質的にニトリルオキシドからなる。この方法で使用する場合、用語「本質的にニトリルオキシドからなる」は、窒素反応体の反応性成分(例えば、不活性成分を含まない)が、分子に基づいて、約95%、98%または99%以上ニトリルオキシドであることを表す。いくつかの実施形態では、窒素反応体は、実質的にニトリルオキシドを含まない。この方法で使用する場合、用語「実質的にニトリルオキシドを含まない」は、窒素反応体が、分子に基づいて、約5%、2%または1%以下でニトリルオキシドを有することを表す。
いくつかの実施形態では、アジドは、2つ以上のアジド部分を含む。いくつかの実施形態では、アジドは、2つまたは3つまたは4つ以上のアジド部分を含む。いくつかの実施形態では、アジドは、一般式SiR4(式中、各Rは、それぞれ独立にC1-C18アルキル、アリール、またはアジド基から選択され、ただし少なくとも1つのRは、アジドである)を有する少なくとも1種の化合物を含む。
いくつかの実施形態では、アジドは、1つまたは複数の以下の化合物を含み、
Figure 0007159285000002
式中、各Rは、それぞれ独立にC1-C18アルキルまたはアリール基から選択される。
いくつかの実施形態では、基板は、基板をアルキンまたは窒素反応体に曝露する前に浄化する。いくつかの実施形態では、基板をアルキンまたは窒素反応体に曝露する前に基板の金属表面のみを浄化する。いくつかの実施形態では、基板または基板の金属表面は、水素プラズマで浄化する。いくつかの実施形態では、水素プラズマは、導電結合プラズマ(CCP)である。いくつかの実施形態では、水素プラズマは、誘導結合プラズマ(ICP)である。いくつかの実施形態では、水素プラズマは、H2のプラズマを含む。
いくつかの実施形態では、誘電体層は、ブロッキング層の堆積後に誘電体表面上に選択的に堆積される。いくつかの実施形態では、誘電体層は、窒化ケイ素を含む。窒化ケイ素の堆積は、任意の適当なプロセスによって行なうことができる。適当なプロセスは、基板をハロゲン化ケイ素およびアンモニアに曝露することを含んでいてもよい。適当なハロゲン化ケイ素には、ジクロロシラン(DCS)、トリクロロシラン(TCS)、テトラクロロシラン(SiCl4)、テトラブロモシラン(SiBr4)、テトラヨードシラン(SiI4)、およびヘキサクロロジシラン(HCDS)が含まれるが、それだけには限定されない。
いくつかの実施形態では、ブロッキング層を基板から除去する。ブロッキング層は、任意の適当な選択的エッチングプロセスによって除去することができる。適当な選択的エッチングプロセスには、酸素プラズマおよびフッ素プラズマの使用が含まれるが、それだけには限定されない。いくつかの実施形態では、ブロッキング層を除去するのに酸素プラズマを使用する場合、金属酸化物層が金属表面上に形成される。いくつかの実施形態では、金属表面上に形成した金属酸化物層は、還元プロセスの使用によって除去される。適当な還元プロセスには、水素またはアンモニアを含むプラズマおよび水素またはアンモニアを含む熱アニールの使用が含まれるが、それだけには限定されない。いくつかの実施形態では、酸素プラズマ、フッ素プラズマ、水素プラズマおよびアンモニアプラズマは、それぞれ独立に遠隔または内部で生成でき、導電結合または誘導結合させることができる。
いくつかの実施形態では、窒化ケイ素層を堆積させた後、基板を酸素プラズマおよび水素プラズマに順次曝露することによってブロッキング層を除去し、基板をアルキンおよび窒素反応体に曝露して金属表面を選択的にブロックし、窒化ケイ素膜を誘電体表面上に選択的に堆積させる。ブロッキング層の除去、基板の曝露および窒化ケイ素膜の堆積は、所定の厚さの窒化ケイ素膜が形成されるまで繰り返すことができる。
いくつかの実施形態では、コバルト表面は、表面反応性が高められていることもあるし、または不活性になっていることもある。いくつかの実施形態では、コバルトは、エポキシドの存在下で触媒作用に関与して、新たに官能化された表面を形成することができる。新たに形成した表面は、さらなる処理に使用することができる。
本開示の1つまたは複数の実施形態は、金属表面および誘電体表面を有する基板の金属表面上にブロッキング層を選択的に堆積させる方法を対象とする。方法は、基板をエポキシドに曝露して、ブロッキング層を選択的に金属表面上に形成することを含む。いくつかの実施形態では、金属表面は、コバルトを含む。いくつかの実施形態では、金属表面は、本質的にコバルトからなる。
いくつかの実施形態では、曝露は、基板のエポキシドを含む溶液への液相曝露によって行なわれる。いくつかの実施形態では、曝露は、基板のエポキシドを含むガスへの気相曝露によって行なわれる。
いくつかの実施形態では、エポキシドは、2つ以上のエポキシド部分を含む。いくつかの実施形態では、エポキシドは置換されている。いくつかの実施形態では、エポキシドは、2つまたは3つまたは4つ以上のエポキシド部分を含む。いくつかの実施形態では、エポキシドは、1つまたは複数の以下の化合物を含み、
Figure 0007159285000003
式中、Rは、それぞれ独立にC1-C4アルキル基から選択される。
いくつかの実施形態では、エポキシドは、それぞれが基板表面と反応する2つ以上のエポキシド部分を含む。いくつかの実施形態では、エポキシドは、その一方のみが基板表面と反応する2つ以上のエポキシド部分を含む。
いくつかの実施形態では、基板をエポキシドに曝露する前に、基板を浄化する。いくつかの実施形態では、基板をエポキシドに曝露する前に、基板の金属表面のみを浄化する。いくつかの実施形態では、基板または基板の金属表面は、水素プラズマで浄化する。いくつかの実施形態では、水素プラズマは、導電結合プラズマ(CCP)である。いくつかの実施形態では、水素プラズマは、誘導結合プラズマ(ICP)である。いくつかの実施形態では、水素プラズマは、H2のプラズマを含む。
いくつかの実施形態では、誘電体層は、ブロッキング層の堆積後に誘電体表面上に選択的に堆積される。いくつかの実施形態では、誘電体層は、窒化ケイ素を含む。窒化ケイ素の堆積は、任意の適当なプロセスによって行なうことができる。適当なプロセスは、基板をハロゲン化ケイ素およびアンモニアに曝露することを含んでいてもよい。適当なハロゲン化ケイ素には、ジクロロシラン(DCS)、トリクロロシラン(TCS)、テトラクロロシラン(SiCl4)、テトラブロモシラン(SiBr4)、テトラヨードシラン(SiI4)、およびヘキサクロロジシラン(HCDS)が含まれるが、それだけには限定されない。
いくつかの実施形態では、ブロッキング層を基板から除去する。ブロッキング層は、任意の適当な選択的エッチングプロセスによって除去することができる。適当な選択的エッチングプロセスには、酸素プラズマおよびフッ素プラズマの使用が含まれるが、それだけには限定されない。いくつかの実施形態では、ブロッキング層を除去するのに酸素プラズマを使用する場合、金属酸化物層が金属表面上に形成される。いくつかの実施形態では、金属表面上に形成した金属酸化物層は、還元プロセスの使用によって除去される。適当な還元プロセスには、水素またはアンモニアを含むプラズマおよび水素またはアンモニアを含む熱アニールの使用が含まれるが、それだけには限定されない。いくつかの実施形態では、酸素プラズマ、フッ素プラズマ、水素プラズマおよびアンモニアプラズマは、それぞれ独立に遠隔または内部で生成でき、導電結合または誘導結合させることができる。
気相ALD条件下では、表面Si-OH基はSi-Clと反応しないので、ハロゲン化シリル前駆体は、一般に、SiO2表面上で化学吸着しない。例えば、ALD SiO2膜は、通常のALD条件下でSiCl4/H2Oプロセスから形成されない。しかしながら、本発明者らは、チタン前駆体をALDスキーム(SiCl4/H2O/TiCl4/H2O)に加えることにより、TixSiyz膜の形成を得られることを見出した。
理論に束縛されるものではないが、SiよりもTiの電気陰性度が低く、Ti-OHはSi-OHよりもSi-Clに対する反応性が高いので、Si-OHはSi-Clと反応しないが、Ti-OHはSi-Clと反応すると考えられる。いくつかの実施形態では、この反応性の違いは、SiO2ではなく、TiNをブロックするために使用される。
TiN表面は、空気に曝露されると酸化し、表面は、Ti-OH基を有することになる。空気に曝露されたTiNおよびSiO2表面にRSiCl3(SAM)をパルスすると、Si-Cl結合は、表面Ti-OHと反応するが、Si-OHとは反応しない。
本開示のいくつかの実施形態は、気相および/または液相中で、トリクロロシリル炭化水素化合物によってTiN、W、Cu、またはCoをブロッキングする方法を対象とする。いくつかの実施形態では、一般式SiX3R(式中、Xは、ハロゲンであり、Rは、C1-C18アルキル、アリールおよびアルキルアミンである)を有する化合物は、TiN、W、CuまたはCo表面をブロックするのに使用される。
本開示の1つまたは複数の実施形態は、金属表面および誘電体表面を有する基板の金属表面上にブロッキング層を選択的に堆積させる方法を対象とする。方法は、基板をトリハロシリル炭化水素に曝露して、金属表面上にブロッキング層を選択的に形成することを含み、トリハロシリル炭化水素は、一般式SiX3R(式中、Rは、C1-C18アルキル、アリール、またはアルキルアミノである)を有する少なくとも1種の化合物を含む。
いくつかの実施形態では、トリハロシリル炭化水素への曝露は、基板のトリハロシリル炭化水素を含む溶液への液相曝露によって行なわれる。いくつかの実施形態では、トリハロシリル炭化水素への曝露は、基板のトリハロシリル炭化水素を含むガスへの気相曝露によって行なわれる。
いくつかの実施形態では、トリハロシリル炭化水素は、1種または複数の以下の化合物を含む。
Figure 0007159285000004
いくつかの実施形態では、R1は、任意のC1-C18アルキル、アリール、アルキルアミノまたはベンジルである。
いくつかの実施形態では、トリハロシリル炭化水素は、一般式SiX3R(式中、Rは、C1-C18アルキル、アリール、またはアルキルアミノである)を有する少なくとも1種の化合物を含む。この関連で使用する場合、「アルキルアミノ」は、アルキル置換基を有するアミノ基を示す。別の言い方では、アルキルアミノ置換基は、一般式-NR2(式中、各Rは、それぞれ独立にH、C1-C6アルキルまたはアリール)を有する。例えば、-N(CH32および-N(CH2CH32である。
いくつかの実施形態では、基板をトリハロシリル炭化水素に曝露する前に、基板は、空気に曝露される。いくつかの実施形態では、基板をトリハロシリル炭化水素に曝露する前に、基板の金属表面のみが、空気に曝露される。
ODTS(オクタデシルトリクロロシラン)によるTiN表面のブロッキング
室温で一定期間、ODTSをトルエン中に溶解させ、クーポンを溶液に浸漬した。浸漬後、試料をトルエンで洗浄し、窒素で乾燥させた。水接触角(WCA)を測定することによって、SAM形成をモニターした。1秒の浸漬で、SAM形成は、TiNで飽和するが、SiO2ではしない。浸漬時間が増加すると、TiNのWCAは、一定のままであるが、SiO2のWCAは増大する。
低温ALD SiONを、SAM処理基板上に堆積させて、選択的な誘電体堆積を評価した。基板を200℃で保持し、ヘキサクロロジシラン(HCDSO)およびNH3の交互パルスを行なった。楕円偏光法によってSiONの膜厚を測定した。150サイクルの後、約5回選択性を観察した。サイクル数の増加と共に選択性が低下した。
OTS(オクチルトリシラン)によるTiN表面のブロッキング
室温で一定期間、OTSをトルエン中に溶解させ、クーポンを溶液に浸漬した。浸漬後、試料をトルエンで洗浄し、窒素で乾燥させた。水接触角(WCA)を測定することによって、SAM形成をモニターした。WCAの変化は、ODTS実験と同様の傾向を示した。
種々の基板上に堆積したSAMの熱安定性を、WCA測定によって評価した。OTS SAMを液相および気相中に堆積させた。SAMを堆積させたクーポンを、200℃~350℃の温度で1時間アニールし、アニーリングの前後にWCAを測定した。TiNおよびW上のSAMは、250℃まで安定であるが、それより高いと劣化することが分かった。対照的に、SiO2上のSAMは、350℃以上で安定である。気相SAM堆積は、液相中よりもTiNとSiO2の間でより高いWCA変化を達成するためのより高い柔軟性が得られた。
SAMの化学安定性は、SAMを堆積させたクーポンを、SiON堆積で使用した前駆体(HCDSOおよびNH3)下200℃で1時間アニーリングすることによって評価した。SAMは200℃で安定であるが、ALD前駆体下では劣化が若干あった。
方法の1つまたは複数の実施形態は、原子層堆積(ALD)プロセスを用いてブロッキング層を提供する。時間領域ALDプロセスでは、各反応性化合物への曝露は、各化合物が基板表面上に付着および/または反応し、次いで処理チャンバからパージされるように、時間遅延によって分離される。反応性ガスは、後続曝露の間に処理チャンバをパージすることにより、混合が防止される。
空間ALDプロセスでは、反応性ガスは、処理チャンバ内で異なる処理領域に流れる。異なる処理領域は、反応性ガスが混合しないように、隣接する処理領域から分離されている。基板は、処理領域間を移動して、基板を処理ガスに個別に曝露することができる。基板の移動中、基板表面の異なる部分、または基板表面の材料が、2つ以上の反応性化合物に曝露されるため、基板上の任意の所与の点が、実質的に同時に2つ以上の反応性化合物に曝露されないようにする。処理チャンバ内のガスの拡散により、基板のほんの一部が複数の反応性ガスに同時に曝露される可能性があり、特に指定がない限り、同時曝露は意図されていないこと、当業者におかれては理解されたい。
時間領域ALDプロセスの一態様では、第1の反応性ガス(すなわち、第1の前駆体または化合物A)は、反応ゾーンにパルスされ、第1の時間遅延が続く。第2の前駆体または化合物Bは、反応ゾーンにパルスされ、第2の時間遅延が続く。各時間遅延の間、アルゴンなどのパージガスが、処理チャンバに導入されて、反応ゾーンをパージし、そうでなければ別の方法で反応ゾーンから残留反応性化合物または反応生成物または副生成物を除去する。あるいは、パージガスは、反応性化合物のパルス間の時間遅延中はパージガスのみが流れるように、堆積プロセス全体にわたって連続的に流れていてもよい。反応性化合物は、基板表面上に所定の膜または膜厚が形成されるまで、交互にパルスされる。どちらのシナリオでも、化合物A、パージガス、化合物BおよびパージガスをパルスするALDプロセスは、サイクルである。サイクルは、化合物Aまたは化合物Bのいずれかで開始し、所定の厚さの膜が得られるまでサイクルのそれぞれの順番を継続することができる。
空間ALDプロセスの一態様では、第1の反応性ガスおよび第2の反応性ガスは、同時に反応ゾーンに送られるが、不活性ガスカーテンおよび/または真空カーテンによって分離される。ガスカーテンは、処理チャンバへの不活性ガス流と処理チャンバからの真空流の組み合わせであってよい。基板は、基板上の任意の所与の点が第1の反応性ガスおよび第2の反応性ガスに曝露されるように、ガス送出装置に対して移動する。
「パルス」または「ドーズ(dose)」は、本明細書では、プロセスチャンバに断続的または非連続的に導入される一定量の原料ガスを意味する。各パルス内の個々の化合物の量は、パルスの持続時間に応じて、時間が経つにつれて変化することがある。個々のプロセスガスには、単一化合物または2つ以上の化合物の混合物/組み合わせが含まれていてよい。
各パルス/ドーズの持続時間は、可変であり、例えば、処理チャンバの体積容量ならびにそれに結合した真空システムの体積容量に適応するように調整してもよい。さらに、プロセスガスのドーズ時間は、プロセスガスの流量、プロセスガスの温度、制御バルブの種類、使用されるプロセスチャンバの種類、ならびに基板表面に吸着するためのプロセスガスの成分の能力に応じて異なることがある。ドーズ時間も、形成される層のタイプと形成されるデバイスの形状寸法に基づいて異なることがある。ドーズ時間は、基板の実質的に全表面上に吸着/化学吸着し、その上にプロセスガス成分の層を形成するのに十分な量の化合物を供給するのに十分な長さでなければならない。
上述の処理方法の実施形態は、反応性ガスの2つのパルスのみを含むが、これは単に例示的なものであって、プロセスガスの追加のパルスを使用してもよいことを理解されたい。パルスは、その全体または一部を繰り返すことができる。サイクルは、所定の厚さのブロッキング層を形成するために繰り返すことができる。いくつかの実施形態では、サイクルは、厚さが約5Å~約40Åの範囲、または約10Å~約30Åの範囲、または約15Å~約20Åの範囲のブロッキング層を形成するために繰り返される。
所定の厚さが達成された後、方法は、任意でさらなる処理(例えば、誘電体膜のバルク堆積)を含んでもよい。いくつかの実施形態では、さらなる処理はALDプロセスであってよい。例えば、いくつかの実施形態では、ALDプロセスは、目的の厚さまでの窒化ケイ素層のバルク堆積のために行なってもよい。
本明細書における開示が、特定の実施形態を参照して説明されたが、これらの実施形態は本開示の原理および応用を例示するものにすぎないと理解すべきである。本開示の方法および装置に種々の改変および変更が、本開示の精神および範囲から逸脱することなくなされ得ることは、当業者に明らかである。したがって、本開示は、添付の特許請求の範囲とそれらの同等物の範囲内の改変および変更を含むことを意図する。

Claims (3)

  1. 金属表面上への誘電体材料の堆積をブロックするためのブロッキング層を選択的に堆積させる方法であって、金属表面および誘電体表面を有する基板をシランに曝露して、金属表面上に選択的にブロッキング層を形成することを含み、シランが、一般式SiH3R(式中、Rは、C4-C20アルキル、パーフルオロアルキル、アルケニルまたはアルキニル基から選択される)を有する少なくとも1種の化合物を含み、誘電体表面上に窒化ケイ素層を選択的に堆積させることをさらに含む、方法。
  2. シランがドデシルシラン(C1225SiH3)を含む、請求項1に記載の方法。
  3. 基板のシランへの曝露と窒化ケイ素層の堆積を、窒化ケイ素層が所定の厚さに達するまで繰り返すことをさらに含む、請求項1に記載の方法。
JP2020502385A 2017-07-18 2018-07-17 金属表面上にブロッキング層を堆積させるための方法 Active JP7159285B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2022163912A JP7423724B2 (ja) 2017-07-18 2022-10-12 金属表面上にブロッキング層を堆積させるための方法

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762533890P 2017-07-18 2017-07-18
US62/533,890 2017-07-18
PCT/US2018/042467 WO2019018379A1 (en) 2017-07-18 2018-07-17 METHODS OF DEPOSITING BLOCKING LAYERS ON METAL SURFACES

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2022163912A Division JP7423724B2 (ja) 2017-07-18 2022-10-12 金属表面上にブロッキング層を堆積させるための方法

Publications (2)

Publication Number Publication Date
JP2020527866A JP2020527866A (ja) 2020-09-10
JP7159285B2 true JP7159285B2 (ja) 2022-10-24

Family

ID=65015493

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2020502385A Active JP7159285B2 (ja) 2017-07-18 2018-07-17 金属表面上にブロッキング層を堆積させるための方法
JP2022163912A Active JP7423724B2 (ja) 2017-07-18 2022-10-12 金属表面上にブロッキング層を堆積させるための方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2022163912A Active JP7423724B2 (ja) 2017-07-18 2022-10-12 金属表面上にブロッキング層を堆積させるための方法

Country Status (6)

Country Link
US (2) US11417515B2 (ja)
JP (2) JP7159285B2 (ja)
KR (2) KR102434954B1 (ja)
CN (2) CN110892508B (ja)
TW (2) TWI762194B (ja)
WO (1) WO2019018379A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022191379A (ja) * 2017-07-18 2022-12-27 アプライド マテリアルズ インコーポレイテッド 金属表面上にブロッキング層を堆積させるための方法

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200118504A (ko) 2018-03-02 2020-10-15 램 리써치 코포레이션 가수분해를 사용한 선택적인 증착
US20200347493A1 (en) * 2019-05-05 2020-11-05 Applied Materials, Inc. Reverse Selective Deposition
KR102156663B1 (ko) * 2019-09-25 2020-09-21 솔브레인 주식회사 박막 제조 방법
KR102141547B1 (ko) * 2019-09-25 2020-09-14 솔브레인 주식회사 박막 제조 방법
JP7227122B2 (ja) 2019-12-27 2023-02-21 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
JP7257949B2 (ja) * 2019-12-27 2023-04-14 東京エレクトロン株式会社 成膜方法及び成膜装置
US20230037960A1 (en) * 2020-01-15 2023-02-09 Tokyo Electron Limited Film forming method, film forming device, and method for manufacturing semiconductor device
JP7072012B2 (ja) * 2020-02-27 2022-05-19 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、及びプログラム
JP7254044B2 (ja) * 2020-03-25 2023-04-07 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
US11380536B2 (en) 2020-05-05 2022-07-05 Applied Materials, Inc. Multi-step pre-clean for selective metal gap fill
KR102406174B1 (ko) * 2020-09-08 2022-06-08 주식회사 이지티엠 선택성 부여제를 이용한 영역 선택적 박막 형성 방법
JP2022050198A (ja) * 2020-09-17 2022-03-30 東京エレクトロン株式会社 成膜方法及び成膜装置
US20230386831A1 (en) * 2020-09-25 2023-11-30 Lam Research Corporation Selective deposition of metal oxides using silanes as an inhibitor
US20220127717A1 (en) * 2020-10-27 2022-04-28 Applied Materials, Inc. Selective Deposition Of A Heterocyclic Passivation Film On A Metal Surface
US11569088B2 (en) * 2020-10-27 2023-01-31 Applied Materials, Inc. Area-selective atomic layer deposition of passivation layers
US11621161B2 (en) * 2020-10-27 2023-04-04 Applied Materials, Inc. Selective deposition of a passivation film on a metal surface
TW202248443A (zh) * 2021-02-28 2022-12-16 美商應用材料股份有限公司 選擇性沉積的表面處理
US11702733B2 (en) * 2021-05-07 2023-07-18 Applied Materials, Inc. Methods for depositing blocking layers on conductive surfaces
KR20230126792A (ko) * 2022-02-24 2023-08-31 주성엔지니어링(주) 기판처리방법
JP2023143463A (ja) * 2022-03-25 2023-10-06 株式会社Screenホールディングス 基板処理方法及び基板処理装置

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008502805A (ja) 2004-06-15 2008-01-31 アヴィザ テクノロジー インコーポレイテッド 多成分誘電体膜を形成するためのシステム及び方法
JP2011233926A (ja) 2006-04-18 2011-11-17 Air Products And Chemicals Inc 制御された気孔を形成するための材料及び方法
KR20150119816A (ko) 2014-04-16 2015-10-26 에이에스엠 아이피 홀딩 비.브이. 이중 선택적 퇴적
WO2016178978A1 (en) 2015-05-01 2016-11-10 Applied Materials, Inc. Selective deposition of thin film dielectrics using surface blocking chemistry
US20170069527A1 (en) 2011-12-09 2017-03-09 Asm International N.V. Selective formation of metallic films on metallic surfaces

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6331329B1 (en) 1999-05-17 2001-12-18 University Of Massachusetts Surface modification using hydridosilanes to prepare monolayers
US6984591B1 (en) * 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
US7074640B2 (en) * 2000-06-06 2006-07-11 Simon Fraser University Method of making barrier layers
US6716770B2 (en) 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
US6641899B1 (en) 2002-11-05 2003-11-04 International Business Machines Corporation Nonlithographic method to produce masks by selective reaction, articles produced, and composition for same
US8241701B2 (en) * 2005-08-31 2012-08-14 Lam Research Corporation Processes and systems for engineering a barrier surface for copper deposition
JP2005210076A (ja) * 2003-12-25 2005-08-04 Semiconductor Leading Edge Technologies Inc 窒化珪素膜の成膜方法及びこの方法を使用する半導体装置の製造方法
WO2006020566A1 (en) * 2004-08-09 2006-02-23 Blue29, Llc Methods for forming a barrier layer with periodic concentrations of elements and structures resulting therefrom and systems and method affecting profiles of solutions dispensed across microelectronic topographies during electroless plating processes
NO20045674D0 (no) * 2004-12-28 2004-12-28 Uni I Oslo Thin films prepared with gas phase deposition technique
US7422979B2 (en) * 2005-03-11 2008-09-09 Freescale Semiconductor, Inc. Method of forming a semiconductor device having a diffusion barrier stack and structure thereof
US8771804B2 (en) * 2005-08-31 2014-07-08 Lam Research Corporation Processes and systems for engineering a copper surface for selective metal deposition
US7718548B2 (en) * 2006-12-06 2010-05-18 Applied Materials, Inc. Selective copper-silicon-nitride layer formation for an improved dielectric film/copper line interface
US7994640B1 (en) * 2007-07-02 2011-08-09 Novellus Systems, Inc. Nanoparticle cap layer
US8030212B2 (en) 2007-09-26 2011-10-04 Eastman Kodak Company Process for selective area deposition of inorganic materials
US7759262B2 (en) * 2008-06-30 2010-07-20 Intel Corporation Selective formation of dielectric etch stop layers
US8524858B2 (en) * 2010-01-08 2013-09-03 The Hong Kong University Of Science And Technology Preparation of hyperbranched poly(triazole)s by in situ click polymerization and adhesive containing the same
US9657125B2 (en) * 2010-01-11 2017-05-23 Isp Investments Llc Reactive monomer for a coating and/or reactive coating
KR101380835B1 (ko) * 2011-07-22 2014-04-04 성균관대학교산학협력단 그래핀의 원자층 식각 방법
US9905415B2 (en) * 2013-10-03 2018-02-27 Versum Materials Us, Llc Methods for depositing silicon nitride films
US9418889B2 (en) * 2014-06-30 2016-08-16 Lam Research Corporation Selective formation of dielectric barriers for metal interconnects in semiconductor devices
KR101633039B1 (ko) * 2015-03-10 2016-06-23 한국과학기술원 표면 개질된 그래핀 캡핑층을 포함한 구리 배선 소자 및 그 제조 방법
US10428421B2 (en) * 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
WO2017048911A1 (en) * 2015-09-19 2017-03-23 Applied Materials, Inc. Surface-selective atomic layer deposition using hydrosilylation passivation
US10121919B2 (en) * 2017-01-06 2018-11-06 Nanoclear Technologies Inc. Control of surface properties by deposition of particle monolayers
TWI762194B (zh) * 2017-07-18 2022-04-21 美商應用材料股份有限公司 在金屬材料表面上沉積阻擋層的方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008502805A (ja) 2004-06-15 2008-01-31 アヴィザ テクノロジー インコーポレイテッド 多成分誘電体膜を形成するためのシステム及び方法
JP2011233926A (ja) 2006-04-18 2011-11-17 Air Products And Chemicals Inc 制御された気孔を形成するための材料及び方法
US20170069527A1 (en) 2011-12-09 2017-03-09 Asm International N.V. Selective formation of metallic films on metallic surfaces
KR20150119816A (ko) 2014-04-16 2015-10-26 에이에스엠 아이피 홀딩 비.브이. 이중 선택적 퇴적
WO2016178978A1 (en) 2015-05-01 2016-11-10 Applied Materials, Inc. Selective deposition of thin film dielectrics using surface blocking chemistry

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022191379A (ja) * 2017-07-18 2022-12-27 アプライド マテリアルズ インコーポレイテッド 金属表面上にブロッキング層を堆積させるための方法
JP7423724B2 (ja) 2017-07-18 2024-01-29 アプライド マテリアルズ インコーポレイテッド 金属表面上にブロッキング層を堆積させるための方法

Also Published As

Publication number Publication date
US20220384176A1 (en) 2022-12-01
TW202136559A (zh) 2021-10-01
KR102434954B1 (ko) 2022-08-19
CN117418211A (zh) 2024-01-19
JP2022191379A (ja) 2022-12-27
WO2019018379A1 (en) 2019-01-24
CN110892508B (zh) 2023-09-15
TWI762194B (zh) 2022-04-21
KR20200019271A (ko) 2020-02-21
TWI722301B (zh) 2021-03-21
JP2020527866A (ja) 2020-09-10
CN110892508A (zh) 2020-03-17
US11417515B2 (en) 2022-08-16
US20200234943A1 (en) 2020-07-23
KR20210130853A (ko) 2021-11-01
TW201908508A (zh) 2019-03-01
JP7423724B2 (ja) 2024-01-29
KR102320089B1 (ko) 2021-10-29

Similar Documents

Publication Publication Date Title
JP7159285B2 (ja) 金属表面上にブロッキング層を堆積させるための方法
JP7413258B2 (ja) 金属表面上の金属酸化物のaldのための方法
JP6813983B2 (ja) アルミニウム及び窒素を含む材料の選択的堆積
JP7050468B2 (ja) 酸化物薄膜の堆積
TWI426547B (zh) 用於批次原子層沈積反應器之處理製程
KR101496644B1 (ko) 증착으로부터 반응성 부위의 비활성화
JP4422445B2 (ja) 原子層堆積法によって基板に二酸化シリコン層を堆積する方法
US20080261413A1 (en) Pretreatment processes within a batch ald reactor
KR20160095643A (ko) 선택적 퇴적
JP2006522225A (ja) 窒化ハフニウム堆積の方法
KR20150121217A (ko) SiCN 또는 SiCON을 포함하는 필름의 저온 원자층 증착
JP2014506013A (ja) SiO2のインシトゥ気相表面活性化
JP2023507326A (ja) 炭素含有量が調整可能な炭窒化ケイ素間隙充填
US20220064784A1 (en) Methods of selective deposition
CN112567071A (zh) 用于增大ald工艺的沉积速率的方法
KR102555781B1 (ko) 주석-함유 전구체들 및 주석-함유 막들을 증착시키는 방법들
WO2014152826A1 (en) Deposition of films using disiloxane precursors
KR102536289B1 (ko) 금속 표면 상의 헤테로사이클릭 패시베이션 막의 선택적 증착
TW202229640A (zh) 選擇性電漿強化原子層沉積

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200317

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210324

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210401

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20210701

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210831

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220131

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220502

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220912

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20221012

R150 Certificate of patent or registration of utility model

Ref document number: 7159285

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150