JP6705776B2 - 3d nandハードマスク用途向けナノ結晶ダイヤモンド炭素膜 - Google Patents

3d nandハードマスク用途向けナノ結晶ダイヤモンド炭素膜 Download PDF

Info

Publication number
JP6705776B2
JP6705776B2 JP2017124160A JP2017124160A JP6705776B2 JP 6705776 B2 JP6705776 B2 JP 6705776B2 JP 2017124160 A JP2017124160 A JP 2017124160A JP 2017124160 A JP2017124160 A JP 2017124160A JP 6705776 B2 JP6705776 B2 JP 6705776B2
Authority
JP
Japan
Prior art keywords
layer
nanocrystalline diamond
etching
substrate
diamond layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2017124160A
Other languages
English (en)
Other versions
JP2017224823A (ja
Inventor
ヨンメイ チェン,
ヨンメイ チェン,
クリスファー エス. ンガイ,
クリスファー エス. ンガイ,
チンチン リウ,
チンチン リウ,
チュン シュエ,
チュン シュエ,
チェンツァウ イン,
チェンツァウ イン,
ルドヴィーク ゴデット,
ルドヴィーク ゴデット,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2017224823A publication Critical patent/JP2017224823A/ja
Application granted granted Critical
Publication of JP6705776B2 publication Critical patent/JP6705776B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • C23C16/27Diamond only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • C23C16/27Diamond only
    • C23C16/274Diamond only using microwave discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • C23C16/27Diamond only
    • C23C16/279Diamond only control of diamond crystallography
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0335Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • H01L29/4975Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2 being a silicide layer, e.g. TiSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/30Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region
    • H10B41/35Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by the memory core region with a cell select transistor, e.g. NAND
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H10B43/35EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Ceramic Engineering (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Composite Materials (AREA)
  • Plasma & Fusion (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Semiconductor Memories (AREA)
  • Chemical Vapour Deposition (AREA)
  • Non-Volatile Memory (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Description

本明細書に開示される実施形態は、概して、不活性炭素膜を含むデバイスに関する。より具体的には、実施形態は、概して、ナノ結晶ダイヤモンド膜に関する。
半導体産業は、より高性能でより大きな機能性を有する新世代の集積回路(IC)を導入するにつれて、それらのICを形成する要素の密度は増加するが、寸法、サイズおよび個々の構成要素または要素間の間隔は縮小する。従来、このような縮小は、フォトリソグラフィーを使用して構造を画定する能力によってのみ制限されていたが、μmまたはnmで測定される寸法を有するデバイス形状寸法は、金属要素の導電率、要素間に使用される絶縁材料(複数可)の誘電率、又は3D NAND若しくはDRAMプロセスにおける課題などの、新たな制限要因を生み出した。これらの制限は、より耐久性があり硬度の高いハードマスクによって利益を得ることができる。
厚いカーボンハードマスクがよく知られており、POR膜として一般に使用されている。しかしながら、現行のカーボンハードマスク組成物は、DRAMおよびNANDが〜10nm未満のレジームへのスケールダウンを続けていくにつれて、不十分になると予想される。このダウンスケーリングは、より高いアスペクト比の深いコンタクトホールまたはトレンチを形成するエッチングを必要とする。高アスペクト比エッチングの問題には、詰まり、ホール形状の歪みが含まれ、パターン変形、上部限界寸法ブローアップ(top critical dimension blow up)、ラインベンディング(line bending)、プロファイルボーイング(profile bowing)が、一般的にこれらの用途で観察される。多くのエッチング課題は、ハードマスク材料特性に依存する。深いコンタクトホールの変形は、ハードマスクの密度が低く、熱伝導率が低いためである。スリットパターンの変形またはラインベンディングは、ハードマスク材料の低い選択性および応力に起因する。したがって、より高い密度、より高いエッチング選択性、より低い応力および優れた熱伝導率を有するエッチングハードマスクを有することが望ましい。
ナノ結晶ダイヤモンドは、高硬度材料として知られている。ナノ結晶ダイヤモンド材料は、極度の硬度、化学的不活性、および高い熱伝導率などの独特な特性のために、耐摩耗性コーティング、光学窓、表面弾性波デバイスおよびヒートスプレッダに使用することができる。しかしながら、ナノ結晶ダイヤモンド膜は、半導体製造プロセスに適用されていない。
したがって、半導体デバイス用のより高い硬度の膜が必要とされている。
本明細書に開示される実施形態は、一般に、ナノ結晶ダイヤモンド層に関する。粒径を2〜5nmに制御することにより、半導体デバイスと関連してナノ結晶ダイヤモンド膜を利用することができる。これらのナノ結晶ダイヤモンド膜は、エッチングプロセス中にハードマスクとして作用するナノ結晶ダイヤモンド層などの様々な用途に使用することができる。一実施形態では、デバイスは、処理面および支持面を有する基板;処理面上に形成されたデバイス層;並びに、処理層上に形成され、2nm〜5nmの平均粒径を有するナノ結晶ダイヤモンド層、を含むことができる。
別の実施形態では、基板を処理するための方法は、処理面および支持面を有する基板をプロセスチャンバ内に配置すること;処理面上にデバイス層を堆積させること;2nm〜5nmの平均粒径を有するナノ結晶ダイヤモンド層を、デバイス層上に堆積させること;ナノ結晶ダイヤモンド層をパターニングすること;デバイス層をエッチングして、特徴部を形成すること;並びに、残りのナノ結晶ダイヤモンド層を、デバイス層の表面から除去すること、を含むことができる。
別の実施形態では、デバイスは、処理面および支持面を有する基板;処理面上に形成され、3D NAND構造の1つ以上の構成要素を形成する複数のデバイス層;デバイス層を貫通して形成され、各々が1つ以上の構成要素のうちの少なくとも1つに接続する複数のチャネル;並びに、処理層上に形成され、2nm〜5nmの平均粒径を有するナノ結晶ダイヤモンド層、を含むことができる。
本実施形態の上述の特徴を詳細に理解できるように、上記で簡単に要約した本実施形態のより詳細な説明が、実施形態を参照することによってなされ、実施形態の幾つかは添付の図面に示される。しかしながら、添付の図面は、典型的な実施形態のみを示しており、従って、その範囲を限定すると見なすべきではないことに留意されたい。
1つ以上の実施形態に従って構成されたCVDプロセスチャンバの概略断面図である。 1つ以上の実施形態によるナノ結晶ダイヤモンド層の側面図である。 1つ以上の実施形態による、ナノ結晶ダイヤモンド層を有する基板を処理するための方法のフロー図である。
理解を容易にするため、可能な場合には、図に共通する同一の要素を示すために、同一の参照番号を使用した。一つの実施形態に開示される要素は、明確な記載がなくても、他の実施形態で有益に利用され得ることが意図される。
本明細書に開示される実施形態は、概して、基板上に形成されたナノ結晶ダイヤモンド層に関する。ナノ結晶ダイヤモンド層は、10nmバウンダリ未満の基板内の特徴部を処理するために必要とされる、より高い密度、より高いエッチング選択性、より低い応力および優れた熱伝導率を提供する。実施形態が、以下の図を参照してより明確に説明される。
図1は、本明細書に記載の実施形態による、炭素系の層を堆積するために使用され得るCVDプロセスチャンバ100の概略断面図である。本明細書に記載される炭素層堆積方法を実施するように適合され得るプロセスチャンバは、カリフォルニア州サンタクララに所在するアプライドマテリアルズ社から入手可能なPRODUCER(登録商標)化学気相堆積チャンバである。以下に記載されるチャンバは、例示的チャンバであり、他の製造業者からのチャンバを含む他のチャンバが、本開示の態様を達成するために使用または改造され得ることが、理解されるべきである。
プロセスチャンバ100は、中央移送チャンバ(図示せず)に接続され、ロボット(図示せず)によって処理される複数のプロセスチャンバを含む処理システム(図示せず)の一部であってもよい。プロセスチャンバ100は、処理領域112を画定する壁106、底部108、およびリッド110を含む。壁106および底部108は、アルミニウムの単一のブロックから製造することができる。プロセスチャンバ100はまた、処理領域112を排気ポート116に流体結合するポンピングリング114ならびに他のポンピング構成要素(図示せず)を含むことができる。
加熱され得る基板支持アセンブリ138が、プロセスチャンバ100内の中央に配置され得る。基板支持アセンブリ138は、堆積プロセス中に基板103を支持する。基板支持アセンブリ138は、一般に、アルミニウム、セラミック、またはアルミニウムとセラミックとの組み合わせから製造され、少なくとも1つのバイアス電極132を含む。
真空ポートを使用して、基板103と基板支持アセンブリ138との間を真空にして、堆積プロセス中に基板103を基板支持アセンブリ138に固定することができる。バイアス電極132は、例えば、基板支持アセンブリ138に配置され、バイアス電源130Aおよび130Bに結合されて、基板支持アセンブリ138およびその上に配置された基板103を、処理中に所定のバイアス電力レベルにバイアスし得る。
バイアス電源130Aおよび130Bは、約1MHzと約60MHzの間の周波数などの様々な周波数で基板103および基板支持アセンブリ138に電力を供給するように独立して構成することができる。本明細書に記載されている実施形態から逸脱することなく、ここに記載された周波数の様々な並べ替えを採用することができる。
一般に、基板支持アセンブリ138は、ステム142に結合される。ステム142は、基板支持アセンブリ138とプロセスチャンバ100の他の構成要素との間の電気リード、真空及びガス供給ラインのための導管を提供する。さらに、ステム142は、基板支持アセンブリ138を、ロボット搬送を容易にするために、上昇位置(図1に示すような)と下降位置(図示せず)との間で基板支持アセンブリ138を移動させるリフトシステム144に結合する。ベローズ146は、基板支持アセンブリ138の移動を容易にすると同時に、処理領域112とプロセスチャンバ100の外部の雰囲気との間の真空シールを提供する。
シャワーヘッド118は、一般に、リッド110の内側120に結合することができる。プロセスチャンバ100に入るガス(すなわち、プロセスガスおよび他のガス)は、シャワーヘッド118を通ってプロセスチャンバ100に入る。シャワーヘッド118は、プロセスチャンバ100に均一なガス流を提供するように構成されてもよい。均一なガス流は、基板103上の均一な層形成を促進するために望ましい。ガス源104を含む遠隔プラズマ源105が、処理領域112と結合することができる。ここに示すように、遠隔プラズマ発生器などの遠隔活性化源を使用して、反応種のプラズマを発生させ、このプラズマを処理領域112に供給する。例示的な遠隔プラズマ発生器は、MKS Instruments,Inc.およびAdvanced Energy Industries,Inc.などのベンダから入手可能である。
さらに、プラズマ電源160をシャワーヘッド118に結合して、基板支持アセンブリ138上に配置された基板103に向かってシャワーヘッド118を通るガスにエネルギーを与えることができる。プラズマ電源160は、RF電力を供給することができる。
プロセスチャンバ100の機能は、コンピューティングデバイス154によって制御することができる。コンピューティングデバイス154は、様々なチャンバおよびサブプロセッサを制御するための工業的環境で使用することができる汎用コンピュータの任意の形態の1つであってもよい。コンピューティングデバイス154は、コンピュータプロセッサ156およびメモリ158を含む。メモリ158は、ランダムアクセスメモリ、読み出し専用メモリ、フラッシュメモリ、ハードディスク、またはローカル若しくはリモートの任意の他の形式のデジタルストレージなどの、任意の適切なメモリを含むことができる。コンピューティングデバイス154は、従来の方法でコンピュータプロセッサ156をサポートするためにコンピュータプロセッサ156に結合することができる様々なサポート回路162を含むことができる。ソフトウェアルーチンが、必要に応じて、メモリ158に格納されてもよいし、遠隔に配置された第2のコンピューティングデバイス(図示せず)によって実行されてもよい。
コンピューティングデバイス154は、1つ以上のコンピュータ可読媒体(図示せず)をさらに含むことができる。コンピュータ可読媒体は、一般に、コンピューティングデバイスによって検索可能な情報を格納することができる、ローカルまたはリモートのいずれかに配置された任意の装置を含む。本明細書で説明する実施形態で使用可能なコンピュータ可読媒体の例には、固体メモリ、フロッピーディスク、内部または外部ハードドライブ、および光学メモリ(例えば、CD、DVD、BR−Dなど)が含まれる。一実施形態では、メモリ158は、コンピュータ可読媒体であってもよい。ソフトウェアルーチンが、コンピューティングデバイスによって実行されるために、コンピュータ可読媒体上に格納されてもよい。
ソフトウェアルーチンは、実行されると、あるチャンバプロセスが実行されるようにチャンバ動作を制御する特定プロセスコンピュータに、汎用コンピュータを変換する。あるいは、ソフトウェアルーチンは、特定用途向け集積回路若しくは他のタイプのハードウェア実装としてハードウェアで、またはソフトウェアとハードウェアとの組み合わせで実行されてもよい。
図2は、デバイス200がNANDデバイスである一実施形態による、その上に形成されたナノ結晶ダイヤモンド層を有するデバイス200である。デバイス200は、基板202と、複数のデバイス層204と、ナノ結晶ダイヤモンド層206とを含む。
基板202は、単結晶シリコン、シリコン−ゲルマニウム若しくはシリコン−ゲルマニウム−炭素などのIV−IV化合物、III−V化合物、II−VI化合物などの当技術分野で既知の任意の半導体基板、そのような基板上のエピタキシャル層、又は任意の他の半導体または非半導体材料、例えば、酸化ケイ素、ガラス、プラスチック、金属若しくはセラミック基板、であってよい。基板202は、メモリデバイス(図示せず)用のドライバ回路などの、その上に製造された集積回路を含むことができる。
複数のデバイス層204が、基板202の表面上に形成され得る。複数のデバイス層204は、3D垂直NAND構造の構成要素を形成する堆積層であり得る。構成要素は、複数のデバイス層の全部または一部によって形成される(例えば、誘電体または個別電荷蓄積セグメント)。誘電体部分は、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、または他の高誘電率絶縁材料などの、任意の1つ以上の同一または異なる電気絶縁材料から独立して選択することができる。一実施形態では、構造は、交互に堆積された酸化ケイ素/窒化ケイ素のペアからなることができる。このペアは、全幅が100〜600Åであることができる。ペアの数は、10ペアより大きい、例えば、32ペア、64ペア以上であり得る。一実施形態では、ペアの数は10〜64ペアである。全厚さは、約2〜約4ミクロンであり得る。
個別電荷蓄積セグメントは、導電性(例えば、チタン、白金、ルテニウム、窒化チタン、窒化ハフニウム、窒化タンタル、窒化ジルコニウム、などの金属又は金属合金、又はチタンシリサイド、ニッケルシリサイド、コバルトシリサイドなどの金属シリサイド、又はそれらの組合せ)又は半導体(例えば、ポリシリコン)フローティングゲート、導電性ナノ粒子、または個別電荷蓄積誘電体(例えば、窒化ケイ素または他の誘電体)特徴部を含むことができる。しかし、代わりに、誘電体電荷蓄積特徴部または他のフローティングゲート材料を使用してもよいことを、理解されたい。
ナノ結晶ダイヤモンド層206は、sp3含有量が高く、結晶サイズが小さい結晶性炭素層である。アモルファスおよびナノ結晶炭素における最も一般的な化学結合は、3本手(sp2結合)および4本手(sp3)の結合配位である。sp3構造では、炭素原子は、隣接原子に対する強いシグマ結合を形成する4つのsp3軌道を形成する。高いsp3含有量を有する炭素膜では、sp3含有量は80%より大きく、例えば約90%より大きく、または約95%より大きくなる。ここに示されるナノ結晶ダイヤモンド層206は、sp2マトリックス(例えば、グラファイト)によって支持される高いsp3含有量(例えば、ナノ結晶ダイヤモンド粒子)を有する。小さい結晶サイズは、6nm未満、例えば2nm〜5nmの結晶サイズである。ナノ結晶ダイヤモンド層は、高さの偏差の二乗平均平方根が6nm未満である表面粗さを有することができる。ナノ結晶ダイヤモンド層は、2.5g/cm〜3.5g/cmの密度、例えば3g/cmの密度を有することができる。ナノ結晶ダイヤモンド層は、−50MPa〜−150MPaの応力、例えば、−80MPa〜−120MPaの応力を有することができる。ナノ結晶ダイヤモンド層は、現在利用可能なダイヤモンドライクカーボン膜と比較して2〜4のブランケットエッチング選択性を有することができる。
デバイス200は、チャネル208を備える。ここに示されるチャネル208は、ナノ結晶ダイヤモンド層206および複数のデバイス層204を貫通して形成される。チャネル208は、基板202の第1の表面210に対して実質的に垂直であり得る。例えば、チャネル208は、ピラー形状を有することができる。チャネル208は、基板202の第1の表面210に対して実質的に垂直に延びることができる。任意選択のボディコンタクト電極(図示せず)を基板202に配置して、下からチャネル208の接続部へのボディコンタクトを提供することができる。いくつかの実施形態では、チャネル208は、充填された特徴部であってもよい。いくつかの他の実施形態では、チャネル208は、中空であってもよい。これらの実施形態では、絶縁充填材料212が、チャネル208によって囲まれた中空部分を充填するように形成されてもよい。絶縁充填材料212は、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、または他の高誘電率絶縁材料などの、任意の電気絶縁材料を含むことができる。
任意の適切な半導体材料、例えば、シリコン、ゲルマニウム、シリコンゲルマニウム、またはIII−V、II−VIなどの他の化合物半導体材料、または導電性もしくは半導電性酸化物、または他の材料を、チャネル208に使用することができる。半導体材料は、アモルファス、多結晶または単結晶であってもよい。半導体チャネル材料は、任意の適切な堆積方法によって形成することができる。例えば、一実施形態では、半導体チャネル材料は、低圧化学気相堆積(LPCVD)によって堆積される。いくつかの他の実施形態では、半導体チャネル材料は、最初に堆積されたアモルファス半導体材料を再結晶化することによって形成された再結晶化多結晶半導体材料であってもよい。
図3は、1つ以上の実施形態による、ナノ結晶ダイヤモンド層を有する基板を処理するための方法300のフロー図である。デバイス層のエッチング化学作用は、ナノ結晶ダイヤモンドに関して実質的に不活性である。したがって、本明細書に記載の実施形態は、従来のハードマスクではなく、ナノ結晶ダイヤモンド層を含むハードマスクを使用する。従来のハードマスクは、上記の構造上の欠点をもたらす多くの制限を有する。一例では、上部限界寸法の拡大は、従来のハードマスクと下層との間の不十分なエッチング選択性によって引き起こされた、ハードマスクの残存がより少ないことに、部分的に起因する。別の例では、深いコンタクトホールの変形は、ハードマスクの密度が低く、熱伝導率が低いことに起因する。スリットパターンの変形またはラインベンディングは、HM材料の低い選択性および応力に起因する。ナノ結晶ダイヤモンド層は、従来のハードマスクと比べて、上記のように、パターンの崩壊を回避するだけでなく、特徴部の非円形エッチングのボーイングおよびベンディングを回避しながら、高いアスペクト比の特徴部を形成することを可能にする。ナノ結晶ダイヤモンド層は、高い物理的な抵抗力を有し、化学的に不活性であり、高い熱伝導率も有することによって、これらの利益を達成する。高い物理的な抵抗力を有し、化学的に不活性であることにより、従来既知のハードマスクよりもエッチング選択性が向上する。改善されたエッチング選択性は、良好なエッチングプロファイルが維持されることを可能にする。さらに、ナノ結晶ダイヤモンド層は、標準的なカーボンハードマスクよりもダイヤモンドに非常に近く、これにより、層に高い熱伝導率が与えられる。エッチングプロセスの間、かなりの量の熱が蓄積される。この熱は、下層に閉じ込められたままの場合、反りを生じさせる可能性がある。ナノ結晶ダイヤモンド層は、効率的な熱伝達を可能にし、反りまたは他の熱関連の歪みを防止する。その後、酸素含有ガスまたは窒素含有ガスの存在下でのアッシングによって、ナノ結晶ダイヤモンド層を容易かつ選択的に除去することができる。
方法300は、プロセスチャンバ内に基板を配置することによって、302で開始し、基板は処理面および支持面を有する。基板は、結晶シリコン基板などの、任意の組成であってよい。基板は、ビアまたはインタコネクトなどの1つ以上の特徴部を含むこともできる。基板は、基板支持体上に支持することができる。基板支持体は、特定の温度範囲に維持することができる。一実施形態では、基板支持体は、約500℃〜約650℃の温度範囲に維持される。
1つ以上の実施形態で使用されるプロセスチャンバは、上述のプロセスチャンバ100または他の製造業者からのチャンバなどの、遠隔プラズマ源を有する任意のCVDプロセスチャンバとすることができる。以下に記載される流量および他の処理パラメータは、300mmの基板に関するものである。これらのパラメータは、本明細書で開示される実施形態から逸脱することなく、処理される基板のサイズおよび使用されるチャンバのタイプに基づいて、調整できることが、理解されるべきである。
「基板表面」は、本明細書で使用される場合、その上に膜処理が実行され得る、任意の基板または基板上に形成された材料の表面を指す。例えば、処理が実行され得る基板表面は、用途に応じて、シリコン、酸化ケイ素、窒化ケイ素、ドープされたシリコン、ゲルマニウム、ヒ化ガリウム、ガラス、サファイア、などの材料、並びに、金属、金属窒化物、金属合金、および他の導電性材料などの任意の他の材料を含む。基板表面はまた、二酸化ケイ素および炭素ドープ酸化ケイ素などの誘電体材料を含むことができる。基板は、200mm、300mmまたは他の直径のウエハなどの様々な寸法、ならびに長方形または正方形のペインを有することができる。
その後、304で、デバイス層を処理面上に堆積させることができる。デバイス層は、図2を参照して説明したデバイス層とすることができる。さらに、デバイス層は、複数のデバイス層のうちの1つであってもよい。デバイス層は、3D NANDデバイスの構成要素などの、1つ以上の特徴部または構成要素を形成するために、連携して働くことができる。
次いで、306で、ナノ結晶ダイヤモンド層が、デバイス層上に堆積される。ナノ結晶ダイヤモンド層は、6nm未満の平均粒径を有することができる。一例では、ナノ結晶ダイヤモンド層は、2nm〜5nmの平均粒径を有する。6nm未満などの小さな粒径は、ナノ結晶ダイヤモンド層などのハードマスク層と下層との間の接着のより良好な制御を可能にし、ハードマスク層の大きさを小さくすることを可能にする。堆積中のより大きい粒径のランダムな配置は、ハードマスク層と下層との間の非接触空間の数を増加させる。非接触空間は、ハードマスク層と下層との間の空間であって、そこでは、ハードマスク層の粒子の形状および大きさのため、また下層自体の粗さのために、ハードマスク層が下層と直接接触しない。より大きな非接触空間は、層の接着を減少させ、ハードマスクと下層との間の熱伝達を減少させる。より小さい粒子は、層の一部として堆積されると、より大きな粒子よりもぎっしりと詰めることができるので、非接触空間の大きさは、より小さい粒子によって低減される。さらに、より小さい粒径のため、下層との良好な接触を維持しながら、より大きい粒径の層より、層を薄くすることができる。
最後に、より小さな粒径は、ハードマスク層のより小さい粗さを可能にする。ラインエッジラフネス(LER)としても知られているライン幅ラフネス(LWR)は、ハードマスクレリーフまたは特徴部の幅の過剰なばらつきである。LWRまたはLERに起因する粗さ又はばらつきは、ばらつきがエッチング中にトレンチにおよび最終的には回路に転写される可能性があるため、不利になり得る。このばらつきは、ハードマスクレリーフの特徴サイズの減少と共により顕著になる。ハードマスク層から形成された特徴部の限界寸法は、LERまたはLWRの影響を低減することによって小さくすることができる。LERまたはLWRは、より小さな粒径、及びそれによってより小さい粗さを有することによって、低減することができる。
ナノ結晶ダイヤモンド層の堆積は、第1の圧力で堆積ガスを遠隔プラズマチャンバに供給することによって開始することができる。堆積ガスは、炭素含有前駆体および水素含有ガスを含む。この実施形態では、炭素含有前駆体はアルカン前駆体である。アルカン前駆体は、飽和非分枝炭化水素、例えばメタン、エタン、プロパン、およびそれらの組み合わせであり得る。他のアルカン前駆体には、n−ブタン、n−ペンタン、n−ヘキサン、n−ヘプタン、n−オクタン、およびそれらの組み合わせが含まれる。水素含有ガスは、H、HO、NHまたは他の水素含有分子を含むことができる。堆積ガスは、不活性ガスをさらに含むことができる。不活性ガスは、アルゴンなどの希ガスであってもよい。
次いで、堆積ガスは遠隔プラズマチャンバに供給される。堆積ガスは、チャンバ内で混合するか、チャンバに入る前に混合することができる。堆積ガスは、比較的高い圧力、例えば5トールより高い圧力で供給される。一実施形態では、堆積ガスは、約10トール〜100トール、例えば約50トールで供給される。
次いで、堆積ガスを活性化して、活性化された堆積ガスを生成することができる。堆積ガスは、電源を用いてプラズマを形成することによって、活性化することができる。ガスを反応種へと活性化し、反応種のプラズマを維持することができる任意の電源を使用することができる。例えば、高周波(RF)、直流(DC)、またはマイクロ波(MW)に基づく放電技術を使用することができる。電源は、堆積ガスのプラズマを生成して維持するために遠隔プラズマチャンバに印加されるソースプラズマ電力を生成する。ソースプラズマ電力にRF電力を使用する実施形態では、ソースプラズマ電力は、300mm基板に対して、約2MHz〜約170MHzの周波数で且つ500W〜5000Wの電力レベルで供給することができる(基板の上面の0.56W/cm〜5.56W/cm)。他の実施形態は、300mm基板に対して、約1000W〜約3000Wのソースプラズマ電力を供給することを含む(基板の上面の1.11W/cm〜3.33W/cm)。印加される電力は、処理されている基板のサイズに応じて調整することができる。
遠隔プラズマチャンバ内の高圧および他の要因に基づいて、ラジカル形成が最大化される一方で、イオン化種の形成は最小限に抑えられる。理論に縛られる意図はないが、ナノ結晶ダイヤモンド層は、sp2結合よりもむしろ主にsp3結合でなければならないと考えられている。さらに、より多くのsp3結合が、層の堆積の間にイオン化種よりもラジカル種の数を増やすことによって達成できると考えられている。イオン化種は、大きなエネルギーを有し、ラジカルよりも運動のための場所がより多く必要になり得る。圧力を増加させることによって、電子エネルギーが減少する一方、他の分子との衝突の可能性が増加する。電子エネルギーの減少および衝突回数の増加は、イオン形成よりもラジカル形成に有利である。
活性化されると、次いで、活性化された堆積ガスが、第2の圧力を有する第2の領域を通って供給される。第2の領域は、処理領域と遠隔プラズマチャンバとの間の第2のチャンバまたは別の閉じ込め領域とすることができる。一例では、第2の領域は、遠隔プラズマチャンバと処理領域との間の接続部である。
第2の圧力は、第1の圧力よりも小さい。遠隔プラズマチャンバから第2の領域への移動は、流量、全体積の変化またはそれらの組み合わせに基づいて、第2の領域内の活性化された堆積ガスの減圧をもたらす。堆積層とのイオン化種の衝突を低減しながら、ラジカル種からのより良好な堆積を可能にするために、圧力が低減される。一実施形態では、第2の圧力は、約1トール〜約5トールである。
活性化された堆積ガスは、その後、プロセスチャンバの処理領域内の基板に供給される。基板は、結晶シリコン基板などの、任意の組成であってよい。基板は、ビアまたはインタコネクトなどの1つ以上の特徴部を含むこともできる。基板は、基板支持体上に支持することができる。基板支持体は、特定の温度範囲に維持することができる。一実施形態では、基板支持体は、約500℃〜約650℃の温度範囲に維持される。
基板は、ナノ結晶層の堆積のためにプレシーディングすることができる。一実施形態において、基板は、シーディング溶液中に浸漬されるか、さもなければコーティングされる。シーディング溶液は、エタノールベースのナノダイヤモンド懸濁液である。基板は、超音波処理中に懸濁液に浸漬され、懸濁したナノダイヤモンドの一部を基板の表面に付着させる。本明細書に記載の実施形態から逸脱することなく、他のプレシーディング技術を使用することができる。
1つ以上の実施形態で使用されるプロセスチャンバは、上述のプロセスチャンバ100または他の製造業者からのチャンバなどの、遠隔プラズマ源を有する任意のCVDプロセスチャンバとすることができる。以下に記載される流量および他の処理パラメータは、300mmの基板に関するものである。これらのパラメータは、本明細書で開示される実施形態から逸脱することなく、処理される基板のサイズおよび使用されるチャンバのタイプに基づいて、調整できることが、理解されるべきである。
「基板表面」は、本明細書で使用される場合、その上に膜処理が実行される、任意の基板または基板上に形成された材料の表面を指す。例えば、処理が実行され得る基板表面は、用途に応じて、シリコン、酸化ケイ素、窒化ケイ素、ドープされたシリコン、ゲルマニウム、ヒ化ガリウム、ガラス、サファイア、などの材料、並びに、金属、金属窒化物、金属合金、および他の導電性材料などの任意の他の材料を含む。基板表面はまた、二酸化ケイ素および炭素ドープ酸化ケイ素などの誘電体材料を含むことができる。基板は、200mm、300mmまたは他の直径のウエハなどの様々な寸法、ならびに長方形または正方形のペインを有することができる。
処理領域は、第2の圧力より低い第3の圧力で、活性化された堆積ガスを受け取る。第3の圧力は、約500ミリトール〜1トールの圧力などの、2トール未満の圧力とすることができる。
その後、ナノ結晶ダイヤモンド層が、基板の表面上に堆積される。あらかじめ形成された活性化された堆積ガスからのラジカルが、基板表面に衝突して、ナノ結晶ダイヤモンド層を形成する。低い圧力が、遠隔で形成されたラジカルからのナノ結晶ダイヤモンド層中のsp3結合の形成に有益であると考えられている。遠隔プラズマ源におけるより高い圧力が、優先的なラジカル形成を可能にする一方、処理領域におけるより低い圧力は、あらかじめ形成されたラジカルからのより均一な堆積を可能にする。
ナノ結晶ダイヤモンド層が堆積されると、水素含有ガスが、遠隔プラズマチャンバに供給される。水素含有ガスは、別の時間に供給されてもよいし、前のステップからのガス流が、維持されてもよい。アルカン前駆体は、この部分には存在しない。水素含有ガスは、不活性ガスと共に、または複数の水素含有ガスの組み合わせの一部として、供給することができる。
次いで、水素含有ガスを活性化して、活性化された水素含有ガスを生成する。水素含有ガスは、活性化された堆積ガスを形成することに関して説明したプラズマの形成のための同じ圧力、温度、電力タイプ、電力範囲、および他のパラメータを使用して、プラズマに変えることができる。
活性化された水素含有ガスが形成されると、それを処理領域内の基板に供給することができる。処理領域および基板は、上述したのと同じ圧力、温度および他のパラメータに維持されてもよい。堆積プロセスの間に、堆積したナノ結晶ダイヤモンド層の表面上にポリマーが形成され得ると考えられる。ポリマーは、更なる堆積に影響を及ぼし、さもなければ堆積層の性能を低下させる可能性がある。活性化された水素含有ガスを堆積層に供給することにより、ポリマーは揮発性にされ、次いで、その後の堆積プロセスに影響を与えないように、チャンバから除去することができる。
次いで、所望の厚さのナノ結晶ダイヤモンドスタックを堆積させるために、上記の要素を繰り返すことができる。各堆積サイクルは、約20Å〜約200Å、例えば約100Åの厚さを生成する。上記のステップを繰り返すことによって、前の層は、次の堆積のためのシード層として作用し、全体的に所望の厚さを堆積させることができる。一実施形態では、ナノ結晶ダイヤモンドスタックは、1μmの厚さに堆積される。
デバイス層は、ナノ結晶ダイヤモンド層の堆積のためにプレシーディングすることができる。一実施形態において、基板は、シーディング溶液中に浸漬されるか、さもなければコーティングされる。シーディング溶液は、エタノールベースのナノダイヤモンド懸濁液であってもよい。基板は、超音波処理中に懸濁液に浸漬され、懸濁したナノダイヤモンドの一部を基板の表面に付着させる。本明細書に記載の実施形態から逸脱することなく、他のプレシーディング技術を使用することができる。
ナノ結晶ダイヤモンド層は、堆積ガスを使用して堆積することができる。堆積ガスは、炭素含有前駆体および水素含有ガスを含むことができる。この実施形態では、炭素含有前駆体は、アルカン、アルケン、またはアルキン前駆体であり得る。アルカン前駆体は、飽和非分枝炭化水素、例えばメタン、エタン、プロパン、およびそれらの組み合わせであり得る。他のアルカン前駆体には、n−ブタン、n−ペンタン、n−ヘキサン、n−ヘプタン、n−オクタン、およびそれらの組み合わせが含まれる。水素含有ガスは、H、HO、NHまたは他の水素含有分子を含むことができる。堆積ガスは、不活性ガスをさらに含むことができる。不活性ガスは、アルゴンなどの希ガスであってもよい。
その後、308で、ナノ結晶ダイヤモンド層をパターニングし、エッチングすることができる。パターニングは、ナノ結晶ダイヤモンド層上へのフォトレジストの堆積を含むことができる。次に、フォトレジストを適切な波長の放射に曝露して、パターンを作成する。その後、パターンは、フォトレジストおよびその後にナノ結晶ダイヤモンド層にエッチングされる。
次に、要素310において、デバイス層をエッチングして、特徴部を形成することができる。ナノ結晶ダイヤモンド層に形成されたパターンにより、デバイス層をエッチングすることができる。デバイス層は、ナノ結晶ダイヤモンド層よりもデバイス層に対して選択的であるエッチャントによってエッチングされる。デバイス層は、当該技術分野において周知の化学作用および技術を用いてエッチングされる。一実施形態では、エッチャントは、塩素含有エッチャントである。
要素312において、次に、ナノ結晶ダイヤモンド層は、デバイス層の表面から除去することができる。ナノ結晶ダイヤモンド層は、例えば、プラズマアッシングプロセスを用いてデバイス層の表面からアッシングすることができる。プラズマアッシングプロセスは、Oなどの酸素含有ガスを活性化させることを含むことができる。Oを使用する場合、アッシング速度は、約900Å/分以上である。ナノ結晶ダイヤモンド層は、カリフォルニア州サンタクララにあるApplied Materials,Inc.から入手可能なCentura Avatarエッチングシステムなどの高アスペクト比エッチングシステムを用いてアッシングすることができる。
表1は、アモルファスカーボンハードマスク(ACH)とナノ結晶ダイヤモンドハードマスクとの間のエッチング選択性の比較および付随する膜特性を示している。
Figure 0006705776
膜は、シリコン基板上に均一な厚さに堆積された。堆積された第1の膜は、ACH膜であった。ACH膜は、メタンおよび水素含有前駆体からのCVDプロセスを使用して堆積された。堆積速度は、550℃の堆積温度で約2500Å/分であった。RMS粗さは、約0.46であった。密度は、1.45g/cmであった。応力は、約50MPaであり、熱伝導率は、1W/(m・K)未満であった。標準的なACH膜に正規化すると、ACHのブランケットエッチング選択性は、約1である。ACH膜は、OおよびNプラズマ処理によって除去することができる。
堆積された第2の膜は、NCD膜であった。NCD膜は、メタンおよび水素含有前駆体からのMWCVDプロセスを使用して堆積された。堆積速度は、600℃の堆積温度で約170Å/分であった。RMS粗さは、約7.54であった。密度は、3.2g/cmであった。応力は、約−207MPaであり、熱伝導率は、約12W/(m・K)であった。標準的なACH膜に正規化すると、NCDのブランケットエッチング選択性は、約2.4である。NCD膜は、OおよびNプラズマ処理によって除去することができる。
上記の表は、エッチング速度、選択性および除去性などの、ACH膜とNCD膜のブランケット膜特性の比較を示す。ここに示すように、NCD膜は、ACH膜と比較して非常に高いエッチング選択性を有する。さらに、NCDは、同じエッチングプロセスによってエッチングされ除去されることができる。
上記は、方法および装置の実施形態に向けられているが、その基本的な範囲から逸脱することなく、他の及びさらなる実施形態が考案されてもよく、その範囲は、以下の特許請求の範囲によって決定される。

Claims (13)

  1. デバイス層をエッチングする方法であって、
    デバイス層上にナノ結晶ダイヤモンド層を堆積させることと、
    前記デバイス層及び前記ナノ結晶ダイヤモンド層にチャネルを形成することであって、
    前記ナノ結晶ダイヤモンド層をパターニングし、エッチングすることと、
    前記デバイス層をエッチングすることとを含む、チャネルを形成することと、
    前記ナノ結晶ダイヤモンド層を除去することと
    を含む、方法。
  2. 前記デバイス層をエッチングすることが、電気絶縁材料をエッチングすることを含む、請求項1に記載の方法。
  3. 前記デバイス層が、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、又はそれらの組み合わせを含む、請求項2に記載の方法。
  4. 前記デバイス層をエッチングすることが、金属又は金属合金をエッチングすることを含む、請求項1に記載の方法。
  5. 前記デバイス層が、チタン、白金、ルテニウム、窒化チタン、窒化ハフニウム、窒化タンタル、窒化ジルコニウム、又は金属シリサイドを含む、請求項4に記載の方法。
  6. 前記デバイス層が、半導体フローティングゲート、導電性ナノ粒子、又は個別電荷蓄積誘電体特徴部を含む、請求項1に記載の方法。
  7. さらに、前記ナノ結晶ダイヤモンド層を堆積させる前にシード層を形成することを含む、請求項1に記載の方法。
  8. デバイス層をエッチングする方法であって、
    基板上にデバイス層を堆積させることと、
    前記デバイス層上に、6nm未満の平均粒径を有するナノ結晶ダイヤモンド層を堆積させることと、
    前記ナノ結晶ダイヤモンド層からエッチマスクを形成することと、
    前記エッチマスクを介して前記デバイス層をエッチングしてチャネルを形成することと、
    前記ナノ結晶ダイヤモンド層をアッシングすること
    を含む、方法。
  9. 前記ナノ結晶ダイヤモンド層の堆積中、前記基板が500℃〜650℃の温度範囲に維持される、請求項に記載の方法。
  10. さらに、前記ナノ結晶ダイヤモンド層を堆積させる前にシード層を形成することを含む、請求項に記載の方法。
  11. デバイス層をエッチングする方法であって、
    基板上に複数のデバイス層を堆積させることと、
    前記複数のデバイス層上にナノ結晶ダイヤモンド層を堆積させることと、
    前記複数のデバイス層及び前記ナノ結晶ダイヤモンド層にチャネルを形成することであって、
    前記ナノ結晶ダイヤモンド層をパターニングし、エッチングして、エッチマスクを形成することと、
    前記エッチマスクを介して前記複数のデバイス層をエッチングすることとを含む、チャネルを形成することと、
    前記ナノ結晶ダイヤモンド層を除去すること
    を含む、方法。
  12. 前記複数のデバイス層をエッチングすることが、電気絶縁材料をエッチングすることを含む、請求項11に記載の方法。
  13. 前記複数のデバイス層をエッチングすることが、酸化ケイ素、窒化ケイ素、酸窒化ケイ素、又はそれらの組み合わせをエッチングすることを含む、請求項12に記載の方法。
JP2017124160A 2014-09-03 2017-06-26 3d nandハードマスク用途向けナノ結晶ダイヤモンド炭素膜 Active JP6705776B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201462045196P 2014-09-03 2014-09-03
US62/045,196 2014-09-03

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2017512789A Division JP6267400B2 (ja) 2014-09-03 2015-08-25 3d nandハードマスク用途向けナノ結晶ダイヤモンド炭素膜

Publications (2)

Publication Number Publication Date
JP2017224823A JP2017224823A (ja) 2017-12-21
JP6705776B2 true JP6705776B2 (ja) 2020-06-03

Family

ID=55403471

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2017512789A Active JP6267400B2 (ja) 2014-09-03 2015-08-25 3d nandハードマスク用途向けナノ結晶ダイヤモンド炭素膜
JP2017124160A Active JP6705776B2 (ja) 2014-09-03 2017-06-26 3d nandハードマスク用途向けナノ結晶ダイヤモンド炭素膜

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2017512789A Active JP6267400B2 (ja) 2014-09-03 2015-08-25 3d nandハードマスク用途向けナノ結晶ダイヤモンド炭素膜

Country Status (6)

Country Link
US (2) US9502262B2 (ja)
JP (2) JP6267400B2 (ja)
KR (2) KR102250012B1 (ja)
CN (2) CN106796883B (ja)
TW (3) TWI670831B (ja)
WO (1) WO2016036533A1 (ja)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10249495B2 (en) * 2016-06-28 2019-04-02 Applied Materials, Inc. Diamond like carbon layer formed by an electron beam plasma process
US10760157B2 (en) * 2016-08-09 2020-09-01 Akhan Semiconductor, Inc. Thin film diamond coating system and method
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US11043372B2 (en) * 2017-06-08 2021-06-22 Applied Materials, Inc. High-density low temperature carbon films for hardmask and other patterning applications
KR102227347B1 (ko) * 2017-09-05 2021-03-11 어플라이드 머티어리얼스, 인코포레이티드 3d 메모리 구조들에서의 고종횡비 홀 형성에 대한 상향식 접근법
CN107946311B (zh) * 2017-11-21 2020-09-25 长江存储科技有限责任公司 控制3d nand闪存结构中沟道关键尺寸的方法
KR20220143158A (ko) * 2018-01-15 2022-10-24 어플라이드 머티어리얼스, 인코포레이티드 원격 플라즈마 산화에 대한 아르곤 추가
CN108315818A (zh) * 2018-05-02 2018-07-24 苏州贝莱克晶钻科技有限公司 单晶金刚石合成装置和方法
CN112002696B (zh) 2018-10-26 2023-08-04 长江存储科技有限责任公司 3dnand存储器件的结构及其形成方法
KR20200086141A (ko) * 2019-01-08 2020-07-16 삼성전자주식회사 실리콘 질화물용 식각제 조성물 및 반도체 소자의 제조 방법
WO2020198944A1 (en) * 2019-03-29 2020-10-08 Yangtze Memory Technologies Co., Ltd. Memory stacks having silicon nitride gate-to-gate dielectric layers and methods for forming the same
JP2020191427A (ja) 2019-05-23 2020-11-26 東京エレクトロン株式会社 ハードマスク、基板処理方法及び基板処理装置
US11384428B2 (en) * 2019-07-19 2022-07-12 Applied Materials, Inc. Carbon layer covered mask in 3D applications
TW202201483A (zh) 2020-02-19 2022-01-01 日商東京威力科創股份有限公司 基板處理方法及基板處理系統
JP2021145031A (ja) * 2020-03-11 2021-09-24 キオクシア株式会社 半導体装置の製造方法
US20230142791A1 (en) 2020-03-31 2023-05-11 Toray Industries, Inc. Inorganic solid object pattern manufacturing method and inorganic solid object pattern
TWI723856B (zh) * 2020-04-28 2021-04-01 逢甲大學 大氣常壓低溫電漿沉積抗刮疏水層的方法
US11594416B2 (en) 2020-08-31 2023-02-28 Applied Materials, Inc. Tribological properties of diamond films
US20220127721A1 (en) * 2020-10-23 2022-04-28 Applied Materials, Inc. Depositing Low Roughness Diamond Films
KR102528990B1 (ko) * 2020-12-28 2023-05-03 알에프에이치아이씨 주식회사 다이아몬드 기판, 다이아몬드 커버, 다이아몬드 플레이트 및 반도체 패키지의 제조 공정, 및 이를 이용하여 제조된 반도체 패키지
US11521926B2 (en) 2021-03-10 2022-12-06 Nanya Technology Corporation Semiconductor device structure with serpentine conductive feature and method for forming the same
US11946134B2 (en) * 2022-01-27 2024-04-02 Applied Materials, Inc. In situ nucleation for nanocrystalline diamond film deposition
US20230260800A1 (en) * 2022-02-15 2023-08-17 Applied Materials, Inc. Methods to reduce uncd film roughness
CN115632048B (zh) * 2022-09-23 2023-08-01 北京科技大学 一种具有纳米金刚石钝化层的TaN薄膜电阻器及其制备方法

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2666172B1 (fr) * 1990-08-24 1997-05-16 Thomson Csf Transistor de puissance et procede de realisation.
US6811612B2 (en) * 2000-01-27 2004-11-02 The University Of Chicago Patterning of nanocrystalline diamond films for diamond microstructures useful in MEMS and other devices
US6673684B1 (en) * 2002-07-31 2004-01-06 Advanced Micro Devices, Inc. Use of diamond as a hard mask material
JP2004111704A (ja) 2002-09-19 2004-04-08 Mitsubishi Electric Corp メンブレンマスクの製法および該製法を用いたメンブレンマスク
JP2004176132A (ja) * 2002-11-27 2004-06-24 Toppan Printing Co Ltd ナノダイヤモンド膜及びその製造方法
US7306778B2 (en) * 2003-06-19 2007-12-11 Nanotech Llc Diamond films and methods of making diamond films
US7128889B2 (en) * 2003-06-26 2006-10-31 Carlisle John A Method to grow carbon thin films consisting entirely of diamond grains 3-5 nm in size and high-energy grain boundaries
JP2005039082A (ja) * 2003-07-16 2005-02-10 Toppan Printing Co Ltd マスクブランクス及びステンシルマスク及びその製造方法及びその露光方法
WO2005116306A1 (ja) * 2004-05-27 2005-12-08 Toppan Printing Co., Ltd. ナノクリスタルダイヤモンド膜、その製造方法、及びナノクリスタルダイヤモンド膜を用いた装置
US7394103B2 (en) * 2004-09-13 2008-07-01 Uchicago Argonne, Llc All diamond self-aligned thin film transistor
EP1929064A1 (en) * 2005-09-29 2008-06-11 UAB Research Foundation Ultra smooth nanostructured diamond films and compositions and methods for producing same
TWI395335B (zh) * 2006-06-30 2013-05-01 Applied Materials Inc 奈米結晶的形成
JP2009091234A (ja) * 2007-09-18 2009-04-30 Tokyo Univ Of Science 導電性ダイヤモンド膜が形成された基板及び導電性ダイヤモンド膜が形成された基板の製造方法
US8008095B2 (en) * 2007-10-03 2011-08-30 International Business Machines Corporation Methods for fabricating contacts to pillar structures in integrated circuits
US8793866B1 (en) * 2007-12-19 2014-08-05 Western Digital (Fremont), Llc Method for providing a perpendicular magnetic recording head
US8470701B2 (en) 2008-04-03 2013-06-25 Advanced Diamond Technologies, Inc. Printable, flexible and stretchable diamond for thermal management
KR20110120661A (ko) * 2010-04-29 2011-11-04 주식회사 하이닉스반도체 비휘발성 메모리 장치 및 그의 제조 방법
JP2013534058A (ja) * 2010-06-30 2013-08-29 サンディスク テクノロジィース インコーポレイテッド 超高密度垂直nandメモリデバイスおよびそれを作る方法
JP2012151187A (ja) * 2011-01-17 2012-08-09 Toshiba Corp 半導体記憶装置の製造方法
JP2012227326A (ja) * 2011-04-19 2012-11-15 Toshiba Corp 不揮発性半導体記憶装置とその製造方法
US20130175546A1 (en) 2012-01-06 2013-07-11 Akhan Technologies, Inc. Diamond Semiconductor System and Method
KR102015578B1 (ko) * 2012-09-11 2019-08-28 삼성전자주식회사 불휘발성 메모리 장치 및 그 형성방법
JP5951442B2 (ja) * 2012-10-17 2016-07-13 株式会社半導体エネルギー研究所 半導体装置

Also Published As

Publication number Publication date
TW201828363A (zh) 2018-08-01
US9865464B2 (en) 2018-01-09
TWI656575B (zh) 2019-04-11
TW201739038A (zh) 2017-11-01
KR20170048545A (ko) 2017-05-08
US20170062216A1 (en) 2017-03-02
KR101821800B1 (ko) 2018-01-24
KR102250012B1 (ko) 2021-05-07
KR20170081716A (ko) 2017-07-12
US20160064500A1 (en) 2016-03-03
TWI670831B (zh) 2019-09-01
WO2016036533A1 (en) 2016-03-10
CN106796883A (zh) 2017-05-31
JP2017533580A (ja) 2017-11-09
CN106796883B (zh) 2020-08-28
JP2017224823A (ja) 2017-12-21
US9502262B2 (en) 2016-11-22
TWI618225B (zh) 2018-03-11
JP6267400B2 (ja) 2018-01-24
TW201614811A (en) 2016-04-16
CN112053950A (zh) 2020-12-08

Similar Documents

Publication Publication Date Title
JP6705776B2 (ja) 3d nandハードマスク用途向けナノ結晶ダイヤモンド炭素膜
US10954129B2 (en) Diamond-like carbon as mandrel
US9382625B2 (en) Remote plasma source based cyclic CVD process for nanocrystalline diamond deposition
TWI749165B (zh) 用於硬遮罩應用之硼摻雜碳化鎢
TW202039906A (zh) 蝕刻腔室中的方向性沉積
TW201515102A (zh) 電漿蝕刻方法及電漿蝕刻裝置
TW202226378A (zh) 選擇性各向異性金屬蝕刻
TWI544543B (zh) A manufacturing method of a semiconductor device, and a computer recording medium
US11894230B2 (en) Tribological properties of diamond films
TWI840814B (zh) 金屬摻雜的碳硬遮罩
JP7441244B2 (ja) 低い粗さのダイヤモンドフィルムの堆積
US11626278B2 (en) Catalytic formation of boron and carbon films
JP2024520039A (ja) 金属ドープされたカーボンハードマスク
TW202326865A (zh) 凹陷的金屬蝕刻方法
TW202321495A (zh) 選擇性石墨烯沉積
JP2007266056A (ja) プラズマエッチング方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170828

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20180820

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20190920

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20191001

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20191225

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20200422

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20200514

R150 Certificate of patent or registration of utility model

Ref document number: 6705776

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250