JP6691704B2 - 裏面照射型センサのための反射防止層 - Google Patents

裏面照射型センサのための反射防止層 Download PDF

Info

Publication number
JP6691704B2
JP6691704B2 JP2016544609A JP2016544609A JP6691704B2 JP 6691704 B2 JP6691704 B2 JP 6691704B2 JP 2016544609 A JP2016544609 A JP 2016544609A JP 2016544609 A JP2016544609 A JP 2016544609A JP 6691704 B2 JP6691704 B2 JP 6691704B2
Authority
JP
Japan
Prior art keywords
layer
wafer
silicon
sensor
boron
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2016544609A
Other languages
English (en)
Other versions
JP2017509142A (ja
Inventor
村松 雅治
雅治 村松
久則 鈴木
久則 鈴木
康人 米田
康人 米田
慎也 大塚
慎也 大塚
ジェン‐フア ハワード チャーン,
ジェン‐フア ハワード チャーン,
デイビッド エル. ブラウン,
デイビッド エル. ブラウン,
ユン‐ホ アレックス チュアン,
ユン‐ホ アレックス チュアン,
ジョン フィールデン,
ジョン フィールデン,
ベンカトラマン イヤー,
ベンカトラマン イヤー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hamamatsu Photonics KK
KLA Corp
Original Assignee
Hamamatsu Photonics KK
KLA Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hamamatsu Photonics KK, KLA Corp filed Critical Hamamatsu Photonics KK
Publication of JP2017509142A publication Critical patent/JP2017509142A/ja
Application granted granted Critical
Publication of JP6691704B2 publication Critical patent/JP6691704B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1462Coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1464Back illuminated imager structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/14685Process for coatings or optical elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/14687Wafer level processing

Landscapes

  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Electromagnetism (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Solid State Image Pick-Up Elements (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Surface Treatment Of Optical Elements (AREA)
  • Formation Of Insulating Films (AREA)
  • Light Receiving Elements (AREA)

Description

関連出願
[0001]本出願は、「Anti−Reflection Layer For Back−Illuminated Sensor」という名称の、2014年1月10日に出願され且つ参照により本明細書に組み込まれる米国特許仮出願第61/926,107号に対する優先権を主張する。
[0002]本出願は、「Anti−Reflective Coating For Sensors Suitable For High Throughput Inspection Systems」という名称の、2009年6月1日にBrownによって出願され、現在放棄されている米国特許出願第12/476,190号に関連する。
[0003]本出願は、深UV(DUV)及び真空UV(VUV)波長での照射を感知するのに適切なイメージセンサ、並びにそのようなイメージセンサを作製するための方法に関する。これらのセンサはフォトマスク、レチクル又はウエハ検査システムでの使用及び他の用途に適切である。
[0004]集積回路産業は、集積回路、フォトマスク、レチクル、太陽電池、電荷結合素子などのより小さいフィーチャを解像するために、またサイズがそれらのフィーチャサイズ程度の又はより小さい欠陥を検出するために、ますます高い解像度を持つ検査ツールを必要としている。
[0005]短波長、例えば約250nmより短い波長で動作する検査システムが、多くの場合そのような解像度を提供することができる。詳細には、フォトマスク又はレチクル検査の場合、パターンによって生じる検査光の位相ずれはリソグラフィの間に生じるものと同一である又は非常に類似したものになるので、リソグラフィに使用されることになる波長と同一の又は近い、すなわち現世代のリソグラフィの場合193.4nmに近い、将来のEUVリソグラフィの場合13.5nmに近い波長を使用して検査することが望ましい。半導体パターン化ウエハを検査する場合、広い波長範囲は個々の波長での反射率の大きな変化を引き起こすことがあり得る層厚又はパターン寸法の小さな変化への感度を低減させることができるので、近UV、DUV及び/又はVUV領域の波長を含む波長領域など、比較的広い波長範囲にわたって動作する検査システムが有利となり得る。
[0006]フォトマスク、レチクル及び半導体ウエハ上の小さい欠陥又は粒子を検出するために、高い信号対雑音比が必要とされる。検出される光子数の統計変動(ポアソン雑音)が信号対雑音比に対する基本的な制限であるので、高速で検査するときに高い信号対雑音比を確保するためには高い光子束密度が必要とされる。多くの場合、ピクセル当たりおよそ100,000以上の光子が必要とされる。検査システムは、典型的には、ほんの短い停止だけで1日当たり24時間使用されるので、センサはほんの数カ月の動作で大量の照射量に曝される。
[0007]250nmの真空波長を持つ光子はおよそ5eVのエネルギーを有する。二酸化ケイ素のバンドギャップは約10eVである。そのような波長光子は二酸化ケイ素によって吸収されることが可能でないと思われるが、二酸化ケイ素構造はシリコン結晶の構造に完全に合致することができないので、シリコン面に成長した二酸化ケイ素はシリコンとの界面にいくつかのダングリングボンドを有しなければならない。さらにまた、単一の二酸化物は非晶質であるので、おそらく材料内にもいくつかのダングリングボンドがある。実際には、酸化物内、並びに下層の半導体への界面では、DUV波長を持つ光子、特に波長が約250nmより短い光子を吸収することができる無視できないほどの密度の欠陥及び不純物があることになる。さらにまた、高い照射束密度下では、2つの高エネルギー光子は非常に短い時間間隔(ナノ秒又はピコ秒)内で同じ場所付近に到達することがあり、結局電子は立て続けの2つの吸収現象によって又は二光子吸収によって二酸化ケイ素の伝導帯に励起されることができる。
[0008]検査、測定学及び関連用途に使用されるセンサのさらなる要件は高感度である。上述したように、高い信号対雑音比が必要とされる。センサが入射光子の大部分を信号に変換しない場合、より効率的なセンサを持つ検査又は測定学システムと比較して同じ検査又は測定速度を維持するために、より高輝度の光源が必要とされることになる。より高輝度の光源は光学器械及び検査又は測定中の試料をより高い光度に曝し、おそらく時間とともに損傷又は劣化を引き起こすことになる。より高輝度の光源はより高価でもあることになり、又は、特にDUV及びVUV波長では入手できない可能性がある。シリコンは入射するDUV及びVUV光の高い割合を反射する。例えば、波長が193nm付近では、表面に2nm酸化物層(自然酸化物層など)を持つシリコンは入射する光のおよそ65%を反射する。シリコン面に約21nmの酸化物層を成長させることは、193nm付近の波長に対して反射率を40%近くにまで低減させる。40%反射率の検出器は65%反射率のものより大幅に効率的であるが、より低い反射率、したがってより高い効率が望ましい。
[0009]反射防止コーティングがレンズ及び鏡などの光学素子に一般に使用される。しかしながら、光学素子のために一般に使用される多くのコーティング材料及び工程はシリコンベースのセンサとしばしば両立しない。例えば、電子及びイオンアシスト蒸着技術が光学コーティングのために一般に使用される。電子又はイオンは電気破壊を引き起こすのに十分な電荷を半導体デバイスの表面に付着させることができ、半導体に製作される回路への損傷という結果になるので、そのようなコーティング工程は半導体デバイスを被覆するためには通例使用されることができない。
[0010]DUV及びVUV波長はシリコンによって強く吸収される。そのような波長はシリコンの表面から約10nm又は数十nm以内で大部分が吸収されることができる。DUV又はVUV波長で動作するセンサの効率は、吸収した光子によって発生される電子のどれくらいの部分が、その電子が再結合する前に収集されることができるかに依存する。二酸化ケイ素は低密度の欠陥を持つシリコンとの高品質界面を形成することができる。反射防止コーティングのために一般に使用されるものの多くを含む大抵の他の材料は、シリコンに直接成膜される場合、シリコンの表面で非常に高密度の電気的欠陥という結果になる。シリコンの表面の高密度の電気的欠陥は可視波長で動作するものと意図されるセンサの場合には問題でなくてもよく、その理由は、そのような波長は、典型的には、吸収される前にシリコン内に約100nm以上進行することができ、したがって、シリコン面の電気的欠陥によってほとんど影響を受けないからである。しかしながら、DUV及びVUV波長はシリコン面の近くで吸収されるので、表面の電気的欠陥及び/又は表面の層(複数可)内の捕獲電荷により、発生した電子の有意な部分は結局シリコン面で又は付近で再結合して失われることができ、低効率センサという結果になる。
[0011]したがって、高エネルギー光子を効率的に検出するが、上記不利点のいくつか又は全てを克服することが可能なイメージセンサの必要性が生じる。
[0012]DUV及び/又はVUVを撮像するための高量子効率のイメージセンサを製作する方法が記載される。これらの方法に従って製作されるイメージセンサは高束のDUV及びVUV照射下で長寿命動作が可能である。これらの方法は、半導体(好ましくはシリコン)ウエハに感光能動及び/又は受動回路素子を層で形成する工程ステップを含む。
[0013]イメージセンサを製作する例証的な方法は、基板にエピタキシャル層を形成するステップと、エピタキシャル層にゲート層であって、二酸化ケイ素及び窒化ケイ素などの誘電材料の1つ又は複数の層を備えるゲート層を形成するステップと、ゲート層に、ポリシリコン及び誘電材料を備えるが、しかし金属膜又は金属相互接続子を備えないで、回路素子を形成するステップと、基板を薄化して、エピタキシャル層の少なくとも一部分を露出させ(露出したエピタキシャル層は本明細書で半導体膜と称される)且つエピタキシャル層の少なくとも部分を露出させるステップと、エピタキシャル層の露出部分に直接純ホウ素層を形成するステップと、ホウ素層の表面に直接1つ又は複数の反射防止層を形成するステップとを含む。本明細書で使用する場合、句「回路素子」は、電荷結合素子及びフォトダイオードなどの感光デバイス、トランジスタ、ダイオード、抵抗器及びコンデンサなどの他の半導体デバイス、並びにそれら要素間の電気的相互接続(しばしば相互接続子と呼ばれる)を指す。この第1の例証的な実施形態において、ホウ素成膜前に形成される回路素子はいかなる金属相互接続子も含まない。これらの回路素子は、フォトリソグラフィ、成膜、エッチング、イオン注入及びアニールを含むがこれらに限定されない標準的な半導体製造工程を使用して形成される。試料(例えばウエハ)を薄化することは化学エッチング及び/又は研磨を使用して行われることができる。特に、この薄化は裏面に衝突する光に対するイメージセンサの感度を増加させることができる。反射防止コーティングはホウ素層に形成される。この反射防止コーティングは1つ又は複数の材料層を備えてもよい。層の少なくとも1つは原子層堆積(ALD)技術を使用して成膜されてもよい。この反射防止コーティングはイメージセンサ内への少なくとも1つの該当する波長の透過を増加させる。一実施形態において、エピタキシャル層の少なくとも1つの露出部分は、基板を薄化した後に且つホウ素層を形成する前にドープされることができる。ホウ素及び反射防止層が裏面に成膜された後に、表面の回路は、金属相互接続子を形成することを含め、完成されることができる。
[0014]イメージセンサを製作する別の方法は、基板にエピタキシャル層を形成し、次いでエピタキシャル層に回路素子を形成するステップを含む。このステップは金属相互接続子を形成することを含んでもよい。支持ウエハか又は保護層が回路素子に形成されることができる。基板は次いで薄化されて、エピタキシャル層の少なくとも一部を露出させる。上記したように、この薄化は裏面に衝突する光に対するイメージセンサの感度を増加させることができる。純ホウ素層が薄化工程で露出したエピタキシャル層の表面に形成される。反射防止コーティングがホウ素層に形成される。この反射防止コーティングはイメージセンサ内への少なくとも1つの該当する波長の透過を増加させる。この反射防止コーティングは1つ又は複数の材料層を備えてもよい。層の少なくとも1つは原子層堆積(ALD)技術を使用して成膜されてもよい。
[0015]高量子効率並びにDUV及び/又はVUV照射に対する長寿命動作のイメージセンサである。これらのイメージセンサは裏側から薄化され、その結果それらイメージセンサはイメージセンサの裏側に衝突する照射に対して高感度である(ここではこれらのイメージセンサは裏面照射される)。エピタキシャル層の裏面に直接成膜されるのは、薄(例えば厚さ約2nmと約20nmとの間)層高純度非晶質ホウ素である。いくつかの実施形態において、1つ又は複数の追加の材料層をホウ素に被覆させてもよい。各層の厚さ及び材料はイメージセンサ内への該当する波長の透過を増加させるように選ばれてもよい。
[0016]本明細書に記載されるイメージセンサはCCD(電荷結合素子)又はCMOS(相補型金属酸化膜半導体)技術を使用して製作されてもよい。イメージセンサは二次元エリアセンサ又は一次元アレイセンサでもよい。
イメージセンサを製作する例証的な方法を例示する。 イメージセンサを製作するための代替の例証的な技術を例示する。 図1に関して記載する方法の対象となるウエハの例証的な横断面を例示する。 図1に関して記載する方法の対象となるウエハの例証的な横断面を例示する。 図1に関して記載する方法の対象となるウエハの例証的な横断面を例示する。 図1に関して記載する方法の対象となるウエハの例証的な横断面を例示する。 図1に関して記載する方法の対象となるウエハの例証的な横断面を例示する。 図1に関して記載する方法の対象となるウエハの例証的な横断面を例示する。 図1に関して記載する方法の対象となるウエハの例証的な横断面を例示する。 図2に関して記載する方法の対象となるウエハの例証的な横断面を例示する。 図2に関して記載する方法の対象となるウエハの例証的な横断面を例示する。 図2に関して記載する方法の対象となるウエハの例証的な横断面を例示する。 図2に関して記載する方法の対象となるウエハの例証的な横断面を例示する。 図2に関して記載する方法の対象となるウエハの例証的な横断面を例示する。 図2に関して記載する方法の対象となるウエハの例証的な横断面を例示する。 図2に関して記載する方法の対象となるウエハの例証的な横断面を例示する。 図2に関して記載する方法の対象となるウエハの例証的な横断面を例示する。 イメージセンサ、シリコンインタポーザ及び他の電子装置を組み込む例証的な検出器アセンブリを例示する。
[0022]図1は、イメージセンサを製作するための例証的な技術100を例示する。ステップ101において、回路素子が、リソグラフィ、成膜、イオン注入、アニール及びエッチングなどの標準的な半導体加工ステップを使用して作成されることができる。CCD及び/又はCMOSセンサ素子及びデバイスもステップ101の間に作成されてもよい。これらの回路素子はウエハの表面のエピタキシャル層に作成され、したがって表面側回路素子とも呼ばれる。好適な実施形態において、エピタキシャル(epi)層は厚さ約10μm〜40μmである。好適な実施形態において、epi層及び基板は両方ともp型ドーパント(ホウ素など)でドープされるが、epi層はバルクウエハ(下記及び図中でp+ドーピングと称される)より非常に低いドーパント濃度(下記及び図中でp−ドーピングと称される)を有する。典型的には、エピタキシャル層抵抗率は約10〜100Ωcmとなり、基板抵抗率は約0.01Ωcm未満となる。ポリシリコン相互接続子がステップ101において形成されてもよいが、金属は後続の高温加工ステップにおいて損傷を受けるので、金属相互接続子はこのステップにおいては通例形成されない。
[0023]ステップ103において、能動センサ範囲又はウエハ全体さえも裏側から薄化させることができる。この薄化は、典型的には、研磨及びエッチングの組合せを含めて、epi層を露出させる。一実施形態において、ウエハは、ウエハが厚さ約200μm〜300μmになるまで裏側から研磨される。次いで、表面及び能動センサ範囲周辺のフレーム範囲がフォトレジスト又は他の適切な材料などの材料で保護される。この時点で、化学エッチング液を使用して能動センサ範囲にわたってバルクウエハをエッチングし、以て能動センサ範囲を露出させる。バルクウエハはepi層より非常に高いドーパント濃度及び欠陥密度を有するので、バルク半導体材料のエッチ速度はepi層のエッチ速度より非常に速い。エッチング工程はepi層に達すると失速し、以て一定厚さの膜範囲という結果になる。別の実施形態において、イメージセンサウエハは支持ウエハに結合され、支持ウエハはクォーツ、シリコン、サファイヤ又は他の材料で作製されていてもよい。次いで、研磨工程を使用してepi層だけが残るまでウエハ全体を研磨する。
[0024]ステップ105において、保護層を表側面に成膜して、ステップ107〜111の間、表側回路素子を保護することができる。特に、ホウ素はシリコンに優先して成膜する傾向があるので、表側面の任意の露出したシリコン又はポリシリコンは保護されなければならない。いくつかの実施形態において、ステップ105は、保護層が裏面薄化工程(ステップ103)の間に表側面に追加の保護を提供することができるように、ステップ103の前に行われてもよい。いくつかの実施形態において、保護層は、例えばプラズマ強化CVD成膜を使用して成膜される窒化ケイ素層を備えてもよい。
[0025]ステップ107において、裏側面はホウ素成膜のために洗浄及び調整されることができる。この洗浄の間に、自然酸化物並びに任意の汚染物質は、有機質及び金属を含めて、裏側面から除去されるべきである。一好適な実施形態において、洗浄は希釈HF溶液又はRCA洗浄工程(有機汚染物質、薄い酸化物層及びイオン汚染の除去を含む、ウエハ洗浄ステップの周知の集合である)を使用して行われることができる。洗浄の後且つ調整の間、ウエハを好ましくはマランゴニ乾燥技術(表面張力ベースの乾燥技術)又は類似の技術を使用して乾燥させて、表面を乾燥し且つ水跡がないようにする。好適な実施形態において、ウエハをステップ107〜109の間、制御雰囲気において保護して(例えば、乾燥窒素を使用して)、自然酸化物再成長を最小限に抑える。
[0026]ステップ109において、ウエハは、希釈水素ガス又は低圧水素ガスなどの還元性環境に数分間高温で保持されることができる。好適な実施形態において、ウエハは約1〜4分間およそ800°C〜850°Cの温度で保持されることができる。この高温は、ステップ107後に再成長した可能性がある任意の自然酸化層を除去することができる。
[0027]ステップ111において、純ホウ素の非晶質層が裏側面の裏側に成膜される。一好適な実施形態において、この成膜は約650〜800°Cの温度でジボラン及び水素ガスの混合物を使用して行って、高純度非晶質ホウ素層を作成することができる。ホウ素層の厚さはセンサの意図される用途に依存する。典型的には、ホウ素層厚さは約2nmと20nmとの間である。ホウ素層厚さは約3nmと10nmとの間であるのが好ましい。最小厚さはピンホールのない一定の膜の必要性によって通例制限される。最大厚さはホウ素による該当する波長の吸収に通例依存する。ステップ109及び111は同じ処理ツールで、好ましくは、同じ処理室で行われることができ、以てステップ109及び111がステップ間の表面汚染又は酸化物成長の可能性なしで間断なく行われることができることを確実にすることに留意されたい。ホウ素成膜に関するよりの詳細は、「Chemical vapor deposition of a−boron layers on silicon for controlled nanometer−deep p−n junction formation」、Sarubbiら、J.Electron.Material、第39巻、162〜173ページ、2010に見いだされることができ、参照により本明細書に組み込まれる。
[0028]ホウ素層の純度及びピンホールの欠如が、本明細書に開示するイメージセンサの感度及び寿命にきわめて重要である。いくらかでも自然酸化物膜がホウ素の成膜前にepi層表面から除去されなければ、その自然酸化物はDUV、VUV又は他の高エネルギー光子によって影響を受けることになり、且つ使用と共にセンサ性能の劣化を引き起こす可能性がある。たとえ全ての自然酸化物がホウ素成膜前に除去されても、ホウ素層にピンホールがあれば、加工後に、酸素がそれらのピンホールを通ってepi層に達することができることになり、且つその層の表面を酸化させることがある。
[0029]ステップ112において、他の層がステップ111の間に又は直後に、ホウ素層の上に成膜される。これらの他の層は、二酸化ケイ素、窒化ケイ素、酸化アルミニウム、二酸化ハフニウム、フッ化マグネシウム及びフッ化リチウムなどの、1つ又は複数の材料から構成される反射防止コーティングを含んでもよい。これらの他の層の1つ又は複数はALDを使用して成膜されてもよい。反射防止層を成膜するためにALD工程を使用することの利点は、ALD工程が成膜される層(複数可)の厚さの非常に精密な(単一の単分子層)制御を、典型的には、可能にすることである。DUV及びVUV波長などの短波長用の反射防止層は好ましくは薄い(厚さ約10nmと20nmとの間など)。1つ又は2つの原子層(10分の数nm)に対する層厚さの制御は、センサからセンサまで一貫した反射率(したがって感度)を維持する利点を有する。たとえ反射防止コーティングがDUV、VUV又は他の照射によって影響を受けることになっても、反射防止コーティングとepi層との間のホウ素層の存在が反射防止コーティングにおける電荷及びトラップからepi層を遮蔽し、イメージセンサの感度が大幅に劣化しないことを確実にする。代替の実施形態において、ステップ112はステップ115及び117間で行われてもよい。反射防止コーティングを成膜することに関係する全ての工程ステップが約450°C未満の温度を使用する場合、すでに金属相互接続子が自らに形成されているウエハ又はセンサに反射防止コーティングを成膜することが可能である。反射防止コーティングを備える1つ又は複数の層を成膜するためにALDを使用することの別の利点は、ALD工程が通常450°Cより非常に低い温度を必要とすることである。
[0030]ステップ113において、表側保護層を除去又はパターン化して、表面の相互接続子の製作のための準備をすることができる。いくつかの実施形態において、ホウ素層は希釈HFに比較的影響されないので、この除去/パターン化は表側面の希釈HFでのエッチングを含んでもよい。
[0031]ステップ115において、表面の相互接続子がパターン化及び製作されることができる。これらの相互接続子はAl、Cu又は別の金属によって形成されてもよい。相互接続子製作が完了した後に、パッシベーション層を表側面に成膜して、これらの相互接続子を保護してもよい。
[0032]ステップ117において、完成した回路素子は実装されることができる。パッケージは基板へのチップのフリップチップボンディング又はワイヤボンディングを含んでもよい。パッケージは該当する波長を送信する窓を含んでもよいし、又は真空シールへの界面のためのフランジ又はシールを備えてもよい。
[0033]図2は、イメージセンサを製作するための代替の例証的な技術200を例示する。この実施形態において、回路素子が、リソグラフィ、成膜、イオン注入、アニール及びエッチングを含む標準的な半導体加工ステップを使用してステップ201において作成されることができる。一実施形態において、CCD及び/又はCMOSセンサ素子及びデバイスもステップ201において作成されてもよい。これらの回路素子はウエハの表側面のepi層に作成される。好適な実施形態において、epi層は厚さ約10μm〜40μmである。epi層は低ドーパント濃度(p−)を有する。一実施形態において、金属相互接続子などの相互接続子もステップ201において作成されることができる。
[0034]ステップ203において、ウエハの表側面は保護されることができる。この保護は、ステップ201の間に形成された回路素子の上に1つ又は複数の保護層を成膜することを含んでもよい。この保護は、また又はその代わり、シリコンウエハ、クォーツウエハ又は他の材料で作製されるウエハなどの支持ウエハにウエハを取り付けることを含んでもよい。
[0035]ステップ205は、少なくとも能動センサ範囲においてエピタキシャル層を露出させるようにウエハを裏側から薄化することを含む。このステップは研磨、エッチング又は両方を含んでもよい。いくつかの実施形態において、ウエハ全体が裏面薄化される。他の実施形態において、能動センサ範囲だけがエピタキシャル層まで薄化される。
[0036]ステップ207は、ホウ素成膜前に裏側面を洗浄及び調整することを含む。この洗浄の間に、自然酸化物並びに任意の汚染物質は、有機質及び金属を含めて、裏側面から除去されるべきである。一実施形態において、この洗浄は希釈HF溶液を使用して又はRCA洗浄工程を使用して行われることができる。洗浄の後且つ調整の間、ウエハをマランゴニ乾燥技術又は類似の技術を使用して乾燥させて、表面を乾燥し且つ水跡がないようにすることができる。
[0037]ステップ209において、ウエハは保護環境において成膜ツールへ搬送されることができ、以てウエハがステップ211の間、保護されることを可能にする。一実施形態において、例えば、保護環境は乾燥窒素雰囲気であり、この雰囲気は自然酸化物再成長を最小限に抑える。ステップ209を行うために費やされる時間は最低限、好ましくは約5分だけに保たれるべきである。
[0038]ステップ211において、ホウ素がウエハの裏側面に成膜される。一好適な実施形態において、この成膜は約400〜450°Cの温度でジボラン及び水素ガスの混合物を使用して行われることができ、以て高純度非晶質ホウ素層を作成する。成膜されるホウ素層の厚さはセンサの意図される用途に依存する。典型的には、ホウ素層厚さは約3nmと10nmとの間であることになる。最小厚さはピンホールのない一定の膜の必要性によって設定されるが、一方最大厚さはホウ素による該当する光子又は荷電粒子の吸収、並びに金属相互接続子が表側にあるときにウエハが高温度に保たれることができる時間の最大長さに依存する。
[0039]ステップ212において、他の層がホウ素層に成膜されてもよい。これらの他の層は、二酸化ケイ素、窒化ケイ素、酸化アルミニウム、二酸化ハフニウム、フッ化マグネシウム及びフッ化リチウムなどの、1つ又は複数の材料から構成される反射防止コーティングを含む。これらの他の層の1つ又は複数はALD工程を使用して成膜されてもよい。上述したように、DUV又はVUV波長用の反射防止層を成膜するためにALD工程を使用することの1つの利点は、非常に精密な層厚さ制御が可能であることである。さらにまた、図2に例示する実施形態において、金属相互接続子が存在するので、成膜工程が450°Cより高い温度を使用しないことが好適である。成膜のためにALDを使用することの別の利点は、大抵のALD工程が450°Cより非常に低い温度を使用することである。
[0040]一実施形態において、保護表側層はステップ213において除去されてもよい。別の実施形態において、ステップ213において、ホール又はビアが保護表側層に開口されることができ、又はデバイスの縁周辺のシリコン貫通ビアが露出されることができ、以て回路構造への接続を可能にする。
[0041]ステップ215において、結果としての構造は適切なパッケージにパックされてもよい。パッキングステップは基板へのデバイスのフリップチップボンディング又はワイヤボンディングを含んでもよい。パッケージは該当する波長を送信する窓を含んでもよいし、又は真空シールへの界面のためのフランジ又はシールを備えてもよい。
[0042]図3A−3Fは、方法100(図1)の対象となるウエハの例証的な横断面を例示する。図3Aは、エピタキシャル(epi)層302が基板301の表側に形成されるのを例示する。一実施形態において、基板301はp+(すなわち高くpドープされる)基板であり、epi層302はp−epi層(すなわち、低濃度のpドーパントを持つ層)である。図3Bは、ゲート酸化物層303がepi層302に形成され、窒化ケイ素(Si)ゲート層304がゲート酸化物層303に形成され、且つ表側回路素子305がゲート層304に形成される(ステップ101)のを例示する。イメージセンサ技術の種類に応じて、ゲート誘電体は1つ、2つ又は3つの層を備えてもよいことに留意されたい。表側回路素子を形成することはepi層の表側の部分に注入又はドープすること含み、且つゲート層をパターン化することを含んでもよい。図3Cは、基板301がその裏側面で少なくとも一定の範囲において薄化されて薄化基板301Aを形成し、この薄化基板がepi層302と組み合わせて半導体膜を形成し(ステップ103)、且つ保護層305Aが表側回路素子305に形成される(ステップ105)のを例示する。図3Dは、薄化基板301Aによって露出したepi層302の部分において形成されてもよい任意選択的なドープ層303Aを例示する。このドーピングは、熱活性化が後続するイオン注入によって、プラズマドーピングによって、プラズマアシストドーピング又は類似の技術によって形成されてもよい。一実施形態において、このドーピングは裏側面調整の一部としてステップ107の間、及びステップ109における高温表面処理の前に行われることができる。図3Eは、純ホウ素層306が薄化基板301A及び露出したepi層302に形成される(ステップ111)のを例示する。ホウ素の一部がepi層内に数nm拡散するので、いくつかの実施形態は別途ドープした層303Aを含む必要はない。図3Fは、保護層305Aが除去又は開口された(ステップ113)後に、表側金属(すなわち相互接続子)307が表側回路素子305に形成されることができることを例示する。図3Gは、ホウ素層306に1つ又は複数の反射防止層308を形成することを例示する。反射防止層308は、ステップ111(ホウ素層を成膜する)の後であるが、ステップ117(パッケージング)の前にいつでも形成されることができる。反射防止層の少なくとも1つはALD工程を使用して成膜される。上述したように、DUV及びVUV反射防止層を成膜するためにALD工程を使用することの利点は、精密な厚さ制御及び低加工温度(通常450°Cより非常に低い)を含む。
[0043]図4A−4Gは、方法200(図2)の対象となるウエハの例証的な横断面を例示する。図4Aは、エピタキシャル(epi)層402が基板401の表側に形成されるのを例示する。一実施形態において、基板401はp+基板であり、epi層402はp−epi層である。一実施形態において、基板は、基板401とepi層402との間の埋込酸化物層402Aを持つSOI(シリコンオンインシュレータ)ウエハである。SOIウエハはSoitec(Bernin、France)及び他の供給業者から市販されている。他の実施形態において、epi層はいかなる埋込酸化物層402Aもない基板401に直接成長される。図4Bは、epi層に形成されることができる相互接続子を含む様々な回路素子403(ステップ201)(epi層は図示はされるが、図面をあまりに複雑にしないように標識は付けないことに留意されたい)を例示する。相互接続子はepi層への裏面薄化前にウエハに形成されるので、これらの相互接続子は通常のサブミクロンCMOS加工技術を使用して形成されることができ、且つ高密度金属相互接続子の複数の層を含んでもよい。いくつかの実施形態において、複数のシリコン貫通ビア(TSV)403Aが、回路素子403への接続を可能にするためにイメージセンサアレイの1つ又は複数の縁周辺に作成される。図4Cは、回路素子403の上部に取り付けられる支持ウエハ404(ステップ203)を例示する。シリコン貫通ビアは図示はされるが、図面をあまりに複雑にしないように標識は付けないことに留意されたい。他の実施形態において、保護層が支持ウエハ404の代わりに使用されることができる。図4Dは、回路素子403が形成されているepi層まで基板401が裏面薄化された後のウエハを例示し、そのウエハはこの場合半導体膜を形成する。一実施形態において、この裏面薄化は埋込酸化物層402Aを露出させる。図4Eは、裏側面の洗浄及び調整後のウエハ(ステップ207)を例示し、そのウエハはイメージセンサアレイ範囲においてepi層を露出させると共に、TSV403Aを保護するようにパターン化されたエッチングされた酸化物402Bという結果になってもよい。図4Fは、epi層402の裏側面に形成された後の純ホウ素層406(ステップ211)を例示する。図4Gは、純ホウ素層406の上部に成膜される1つ又は複数の反射防止層408を例示する。反射防止層の少なくとも1つはALD工程を使用して成膜される。上述したように、ALDの利点は、低加工温度及び成膜される材料の厚さ(複数可)の精密な制御を含む。図4Hは、エッチングされた酸化物402B及び任意の上層の反射防止層が、TSV403Aへの電気接続を可能にするように除去されて金属パッド407と置き換えられた後のウエハ(ステップ213)を例示する。
[0044]上記した実施形態のいずれかにおいて、反射防止層(複数可)は、シリコンセンサ内への該当する波長(複数可)の透過を最大限にように選ばれる厚さ(複数可)を有してもよい。反射防止層の吸収が有意でない場合、シリコン内への最大透過は最小反射率に対応する。例えば、波長が193nm付近で動作するものと意図するセンサの場合、反射防止層(複数可)の厚さ(複数可)は193nm付近の波長の透過を最大限にするように選ばれてもよい。DUV波長の場合、非晶質アルミナ(酸化アルミニウム)は、シリコンに薄い(<10nm)ホウ素層に対する有効な反射防止コーティングを形成することができる。アルミナはALDによる成膜に適切である。薄いホウ素層(厚さ2〜3nmのホウ素層など)上のおよそ16.5nmのアルミナコーティング厚さは、正常に近い入射に対して波長が193nm付近で10%未満の反射率最小という結果になる。薄いアルミナ層は波長が193nm付近で無視できるほどの吸収を有するので、この反射率最小はセンサ内への最大透過に対応する。他の成膜技術に対してこの種の反射防止層を成膜するためのALD工程の1つの利点は、ALD工程は通例高エネルギーイオン又は電子を成膜のために必要とせず、そのため高感度半導体回路に損傷を与える危険性はより少ないことである。別の利点は、非常に精密な厚さ制御(およそ1つの単分子層)がALD工程で可能であることである。DUV及びVUV波長用の反射防止層は薄い(上記例における16.5nmなどの)必要があるので、精密な厚さ制御はセンサ毎の及びセンサの全感光範囲にわたるより良好な一貫性という結果になる。
[0045]いくつかの実施形態において、反射防止コーティングは2つ以上の層を備えてもよい。特にセンサが波長範囲にわたって動作するように所望されるとき、又は入手できるコーティング材料が個々には所望されるほど低い反射率を与えないとき、多層反射防止コーティングが単層コーティングより良好な性能を与えてもよい。例えば、193nm付近の波長に対して窒化ケイ素コーティングがアルミナコーティングの代わりに使用される場合、9nmの単層コーティングは約0.8%反射率で193nm付近の反射率最小を与えることになる。しかしながら、窒化ケイ素はDUV光を吸収するので、シリコン内へ透過される193nm光の割合は実際には16.5nmアルミナコーティングの場合より9nm窒化物コーティングの場合ほうが低いことになる(約58%と比べて約53%)。窒化ケイ素コーティング厚さを約8nmまで低減させることは、反射率が9nmコーティングの場合よりほとんど高くならないにもかかわらず(約1.5%対約0.8%)、シリコン内への透過を約0.5%向上させることができる。シリコンセンサ上の2〜3nmのホウ素上のおよそ5nm窒化ケイ素層の上部におよそ17nmフッ化マグネシウム層を備える2層コーティングは、シリコン内への193nm光の透過を約56%まで向上させることができ、この数字は単層アルミナコーティングで達成可能なおよそ58%に近い。このことは、追加のコーティング層がどのようにしてより理想的でない材料がセンサ内への該当する波長の透過の有意な改善をすることを可能にすることができるかの1つの例であるにすぎない。両層とも薄いので、厚さを正確に制御するために、ALD工程を有利に使用して両層を成膜することができる。
[0046]上記例は本明細書に開示する本発明の範囲を制限するようことは意図されない。それら例は、入手できる材料を使用して、適切な反射防止コーティングを、どのように該当する波長(複数可)に合わせて選ぶことができるかの例示として意図されているにすぎない。大抵の材料の屈折率はDUV波長については正確には知られておらず、材料の屈折率は、例えば材料の密度が成膜状態の変化と共に変化してもよいように、異なる成膜状態に対して異なってもよい。材料の実際の屈折率は計算のために仮定される値と異なっているため、上記例のための最適な反射防止コーティング層厚さは上記値と異なってもよい。どのように薄膜の反射率及び吸収を計算するべきかはよく理解される。当業者は所与の波長(複数可)に対して、一旦それら波長での材料の屈折率が知られれば、適切なコーティング厚さを計算することができる。
[0047]フッ化マグネシウム及びフッ化カルシウムはそれぞれ約115nm及び125nmより長い波長を強くは吸収しないので、それらはともに特にVUV及びDUV波長のための有用な材料である。アルミナもDUV及びいくつかのVUV波長のために有用である。SiOは約130nmより長い波長のために有用となり得る。窒化ケイ素及び二酸化ハフニウムは、DUVスペクトルのより長い波長端では吸収がより弱いため、有用となり得るより高指数材料の例であるが、VUV波長では比較的強い吸収のため、そのような波長ではそれほど有用でない。
[0048]図5は、本発明の一定の実施形態に従ってイメージセンサ504、シリコンインタポーザ502及び他の電子装置を組み込む例証的な検出器アセンブリ500を例示する。
[0049]本発明の一態様において、検出器アセンブリ500は、インタポーザ502の表面に配置される1つ又は複数の感光センサ504を含んでもよい。いくつかの実施形態において、アセンブリ500の1つ又は複数のインタポーザ502は、シリコンインタポーザを含んでもよいが、それに限定されない。本発明のさらなる態様において、アセンブリ500の1つ又は複数の感光センサ504は裏面薄化されており、且つ上記の通り裏面に成膜されるホウ素層及び1つ又は複数の反射防止層を含め、裏面照明のためにさらに構成される。
[0050]本発明の別の態様において、アセンブリ500の様々な回路素子がインタポーザ502に配置されても又は組み込まれてもよい。一実施形態において、1つ又は複数の増幅回路(例えば、電荷変換増幅器)(不図示)がインタポーザ502に配置されても又は組み込まれてもよい。別の実施形態において、1つ又は複数の変換回路508(例えば、アナログ−デジタル変換回路、すなわちデジタイザ508)がインタポーザ502に配置されても又は組み込まれてもよい。別の実施形態において、1つ又は複数のドライバ回路506がインタポーザ502に配置されても又は組み込まれてもよい。例えば、1つ又は複数のドライバ回路506はタイミング/シリアル駆動回路を含んでもよい。例えば、1つ又は複数のドライバ回路506はクロックドライバ回路網又はリセットドライバ回路網を含んでもよいが、これに限定されない。別の実施形態において、1つ又は複数のデカップリングコンデンサ(不図示)がインタポーザ502に配置されても又は組み込まれてもよい。さらなる実施形態において、1つ又は複数のシリアルトランスミッタ(図5には不図示)がインタポーザ502に配置されても又は組み込まれてもよい。
[0051]本発明の別の態様において、1つ又は複数の支持構造が、センサ504に物理的支持を提供するために感光アレイセンサ504の底面とインタポーザ502の上面との間に配置されてもよい。一実施形態において、複数のはんだボール516が、センサ504に物理的支持を提供するために感光アレイセンサ504の底面とインタポーザ502の上面との間に配置されてもよい。センサ504の撮像領域が外部電気接続を含まない可能性がある一方、センサ504の裏面薄化がセンサ504をますます可撓性にさせることが本明細書で認識される。そのため、はんだボール516を活用して、センサ504の撮像部分を補強するようにインタポーザ502にセンサ504を接続してもよい。代替の実施形態において、アンダーフィル材料が、センサ504に物理的支持を提供するために感光アレイセンサ504の底面とインタポーザ502の上面との間に配置されてもよい。例えば、エポキシ樹脂が感光アレイセンサ504の底面とインタポーザ502の上面との間に配置されてもよい。
[0052]本発明の別の態様において、インタポーザ502及び様々な追加の回路網(例えば、増幅回路、ドライバ回路506、デジタイザ回路508など)は基板510の面に配置される。さらなる態様において、基板510は高熱伝導率を有する基板(例えば、セラミック基板)を含む。この点に関しては、基板510は、センサ504/インタポーザ502アセンブリに物理的支持を提供しつつ、またアセンブリ500が撮像センサ504及び様々な他の回路網(例えば、デジタイザ506、ドライバ回路網508、増幅器など)から熱を効率的に伝導する手段も提供するように構成される。基板が公知技術の任意の剛体の高熱伝導基板材料を含んでもよいことが本明細書で認識される。例えば、基板510はセラミック基板を含んでもよいが、これに限定されない。例えば、基板510は窒化アルミニウムを含んでもよいが、これに限定されない。
[0053]別の実施形態において、基板510はソケット又は下層のプリント回路基板(PCB)へのインタフェースを提供するように構成されてもよい。例えば、図5に図示するように、基板510は相互接続子512を介してインタポーザ502とソケット又はPCBとの間に相互接続を提供してもよい。当業者は、基板510が下層のPCBに動作可能に結合され、且つさらに各種の方法でソケット又はPCBに電気的に結合されてもよいことを認識するであろうが、これら全ては本発明の範囲内であるものと解釈される。
[0054]上記した本発明の構造及び方法の様々な実施形態は本発明の原理を例示するにすぎず、本発明の範囲を記載した特定の実施形態に制限するものとは意図されない。例えば、図1及び2に描かれるフローチャートに追加のステップが加えられてもよく、又は図示のステップのいくつかが図示とは異なる順序で行われてもよい。したがって、本発明は以下の請求項及びその均等物によってのみ限定される。

Claims (2)

  1. 深紫外線(DUV)照射、真空紫外線(VUV)照射、極紫外線(EUV)照射及び荷電粒子の少なくとも1つを感知するためのイメージセンサであって、
    第1の面に形成されている回路素子を含む半導体膜と、
    前記半導体膜の第2の面に形成されている純ホウ素層と、
    前記純ホウ素層に形成されている反射防止コーティングとを備え、
    前記純ホウ素層の厚さが2nmと10nmとの間であり、
    前記反射防止コーティングがアルミナを含み
    記アルミナが16.5nmの厚さを有している、イメージセンサ。
  2. 前記アルミナがALD工程によって成膜されている、請求項1に記載のイメージセンサ。
JP2016544609A 2014-01-10 2015-01-08 裏面照射型センサのための反射防止層 Active JP6691704B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201461926107P 2014-01-10 2014-01-10
US61/926,107 2014-01-10
US14/591,325 US9748294B2 (en) 2014-01-10 2015-01-07 Anti-reflection layer for back-illuminated sensor
US14/591,325 2015-01-07
PCT/US2015/010672 WO2015147963A2 (en) 2014-01-10 2015-01-08 Anti-reflection layer for back-illuminated sensor

Publications (2)

Publication Number Publication Date
JP2017509142A JP2017509142A (ja) 2017-03-30
JP6691704B2 true JP6691704B2 (ja) 2020-05-13

Family

ID=53522011

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016544609A Active JP6691704B2 (ja) 2014-01-10 2015-01-08 裏面照射型センサのための反射防止層

Country Status (5)

Country Link
US (2) US9748294B2 (ja)
JP (1) JP6691704B2 (ja)
DE (1) DE112015000383T5 (ja)
TW (1) TWI675463B (ja)
WO (1) WO2015147963A2 (ja)

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9793673B2 (en) 2011-06-13 2017-10-17 Kla-Tencor Corporation Semiconductor inspection and metrology system using laser pulse multiplier
US8873596B2 (en) 2011-07-22 2014-10-28 Kla-Tencor Corporation Laser with high quality, stable output beam, and long life high conversion efficiency non-linear crystal
US10197501B2 (en) 2011-12-12 2019-02-05 Kla-Tencor Corporation Electron-bombarded charge-coupled device and inspection systems using EBCCD detectors
US9496425B2 (en) 2012-04-10 2016-11-15 Kla-Tencor Corporation Back-illuminated sensor with boron layer
US9601299B2 (en) 2012-08-03 2017-03-21 Kla-Tencor Corporation Photocathode including silicon substrate with boron layer
US9151940B2 (en) 2012-12-05 2015-10-06 Kla-Tencor Corporation Semiconductor inspection and metrology system using laser pulse multiplier
US9426400B2 (en) 2012-12-10 2016-08-23 Kla-Tencor Corporation Method and apparatus for high speed acquisition of moving images using pulsed illumination
US8929406B2 (en) 2013-01-24 2015-01-06 Kla-Tencor Corporation 193NM laser and inspection system
US9529182B2 (en) 2013-02-13 2016-12-27 KLA—Tencor Corporation 193nm laser and inspection system
US9608399B2 (en) 2013-03-18 2017-03-28 Kla-Tencor Corporation 193 nm laser and an inspection system using a 193 nm laser
US9478402B2 (en) 2013-04-01 2016-10-25 Kla-Tencor Corporation Photomultiplier tube, image sensor, and an inspection system using a PMT or image sensor
US9347890B2 (en) 2013-12-19 2016-05-24 Kla-Tencor Corporation Low-noise sensor and an inspection system using a low-noise sensor
US9410901B2 (en) 2014-03-17 2016-08-09 Kla-Tencor Corporation Image sensor, an inspection system and a method of inspecting an article
US9804101B2 (en) 2014-03-20 2017-10-31 Kla-Tencor Corporation System and method for reducing the bandwidth of a laser and an inspection system and method using a laser
US9767986B2 (en) 2014-08-29 2017-09-19 Kla-Tencor Corporation Scanning electron microscope and methods of inspecting and reviewing samples
US9419407B2 (en) 2014-09-25 2016-08-16 Kla-Tencor Corporation Laser assembly and inspection system using monolithic bandwidth narrowing apparatus
US9748729B2 (en) 2014-10-03 2017-08-29 Kla-Tencor Corporation 183NM laser and inspection system
JP6803137B2 (ja) * 2015-09-30 2020-12-23 浜松ホトニクス株式会社 裏面入射型固体撮像素子
JP6962906B2 (ja) * 2016-03-03 2021-11-05 浜松ホトニクス株式会社 半導体光検出素子
US10313622B2 (en) 2016-04-06 2019-06-04 Kla-Tencor Corporation Dual-column-parallel CCD sensor and inspection systems using a sensor
US10778925B2 (en) 2016-04-06 2020-09-15 Kla-Tencor Corporation Multiple column per channel CCD sensor architecture for inspection and metrology
US10175555B2 (en) 2017-01-03 2019-01-08 KLA—Tencor Corporation 183 nm CW laser and inspection system
US11114489B2 (en) 2018-06-18 2021-09-07 Kla-Tencor Corporation Back-illuminated sensor and a method of manufacturing a sensor
US10943760B2 (en) 2018-10-12 2021-03-09 Kla Corporation Electron gun and electron microscope
US11114491B2 (en) * 2018-12-12 2021-09-07 Kla Corporation Back-illuminated sensor and a method of manufacturing a sensor
JP2021044439A (ja) * 2019-09-12 2021-03-18 浜松ホトニクス株式会社 裏面入射型撮像素子
US11233002B2 (en) * 2019-10-10 2022-01-25 Marvell Asia Pte, Ltd. High density low power interconnect using 3D die stacking
US20210164917A1 (en) * 2019-12-03 2021-06-03 Kla Corporation Low-reflectivity back-illuminated image sensor
US11848350B2 (en) * 2020-04-08 2023-12-19 Kla Corporation Back-illuminated sensor and a method of manufacturing a sensor using a silicon on insulator wafer
US12015046B2 (en) * 2021-02-05 2024-06-18 Kla Corporation Back-illuminated sensor with boron layer deposited using plasma atomic layer deposition
WO2022175713A1 (en) * 2021-02-17 2022-08-25 Teledyne Digital Imaging, Inc. Back illuminated image sensor with implanted boron for ultraviolet response
CN116380934A (zh) * 2023-06-02 2023-07-04 中山市美速光电技术有限公司 一种检测超微间距光纤阵列的质检系统

Family Cites Families (205)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3870917A (en) 1971-05-10 1975-03-11 Itt Discharge device including channel type electron multiplier having ion adsorptive layer
GB1444951A (en) 1973-06-18 1976-08-04 Mullard Ltd Electronic solid state devices
GB1536412A (en) 1975-05-14 1978-12-20 English Electric Valve Co Ltd Photocathodes
US4210922A (en) 1975-11-28 1980-07-01 U.S. Philips Corporation Charge coupled imaging device having selective wavelength sensitivity
NL7611593A (nl) 1976-10-20 1978-04-24 Optische Ind De Oude Delft Nv Werkwijze voor het in een beeldversterkerbuis aanbrengen van een lichtabsorberende, voor elek- tronen doorlaatbare laag.
JPS58146B2 (ja) 1980-10-14 1983-01-05 浜松テレビ株式会社 フレ−ミング管
US4348690A (en) 1981-04-30 1982-09-07 Rca Corporation Semiconductor imagers
US4644221A (en) 1981-05-06 1987-02-17 The United States Of America As Represented By The Secretary Of The Army Variable sensitivity transmission mode negative electron affinity photocathode
US4555731A (en) 1984-04-30 1985-11-26 Polaroid Corporation Electronic imaging camera with microchannel plate
US4760031A (en) 1986-03-03 1988-07-26 California Institute Of Technology Producing CCD imaging sensor with flashed backside metal film
US4853595A (en) 1987-08-31 1989-08-01 Alfano Robert R Photomultiplier tube having a transmission strip line photocathode and system for use therewith
US5483378A (en) 1988-04-19 1996-01-09 Litton Systems, Inc. Fault tolerant anti-reflective coatings
NL8902271A (nl) 1989-09-12 1991-04-02 Philips Nv Werkwijze voor het verbinden van twee lichamen.
US5120949A (en) 1991-01-17 1992-06-09 Burle Technologies, Inc. Semiconductor anode photomultiplier tube
JP2828221B2 (ja) 1991-06-04 1998-11-25 インターナショナル・ビジネス・マシーンズ・コーポレイション レーザー光波長変換装置
US5563702A (en) 1991-08-22 1996-10-08 Kla Instruments Corporation Automated photomask inspection apparatus and method
US5376810A (en) 1992-06-26 1994-12-27 California Institute Of Technology Growth of delta-doped layers on silicon CCD/S for enhanced ultraviolet response
US5227313A (en) 1992-07-24 1993-07-13 Eastman Kodak Company Process for making backside illuminated image sensors
US5315126A (en) 1992-10-13 1994-05-24 Itt Corporation Highly doped surface layer for negative electron affinity devices
US5428392A (en) 1992-11-20 1995-06-27 Picker International, Inc. Strobing time-delayed and integration video camera system
US5326978A (en) 1992-12-17 1994-07-05 Intevac, Inc. Focused electron-bombarded detector
US5475227A (en) 1992-12-17 1995-12-12 Intevac, Inc. Hybrid photomultiplier tube with ion deflector
US5760809A (en) 1993-03-19 1998-06-02 Xerox Corporation Recording sheets containing phosphonium compounds
FI940740A0 (fi) 1994-02-17 1994-02-17 Arto Salokatve Detektor foer paovisning av fotoner eller partiklar, foerfarande foer framstaellning av detektorn och maetningsfoerfarande
US6271916B1 (en) 1994-03-24 2001-08-07 Kla-Tencor Corporation Process and assembly for non-destructive surface inspections
US5493176A (en) 1994-05-23 1996-02-20 Siemens Medical Systems, Inc. Photomultiplier tube with an avalanche photodiode, a flat input end and conductors which simulate the potential distribution in a photomultiplier tube having a spherical-type input end
US20080315092A1 (en) 1994-07-28 2008-12-25 General Nanotechnology Llc Scanning probe microscopy inspection and modification system
EP0702221A3 (en) 1994-09-14 1997-05-21 Delco Electronics Corp Sensor integrated on a chip
JPH08241977A (ja) 1995-03-03 1996-09-17 Hamamatsu Photonics Kk 半導体装置の製造方法
WO1996036999A1 (de) 1995-05-19 1996-11-21 Dr. Johannes Heidenhain Gmbh Strahlungsempfindliches detektorelement und verfahren zur herstellung desselben
JP3405620B2 (ja) 1995-05-22 2003-05-12 松下電器産業株式会社 固体撮像装置
US6362484B1 (en) 1995-07-14 2002-03-26 Imec Vzw Imager or particle or radiation detector and method of manufacturing the same
US5731584A (en) 1995-07-14 1998-03-24 Imec Vzw Position sensitive particle sensor and manufacturing method therefor
WO1997046865A1 (en) 1996-06-04 1997-12-11 Tencor Instruments Optical scanning system for surface inspection
US5999310A (en) 1996-07-22 1999-12-07 Shafer; David Ross Ultra-broadband UV microscope imaging system with wide range zoom capability
US5717518A (en) 1996-07-22 1998-02-10 Kla Instruments Corporation Broad spectrum ultraviolet catadioptric imaging system
US5760899A (en) 1996-09-04 1998-06-02 Erim International, Inc. High-sensitivity multispectral sensor
US5940685A (en) 1996-10-28 1999-08-17 The United States Of America As Represented By The Secretary Of The Air Force Fabrication of UV-sensitive back illuminated CCD image sensors
US6064759A (en) 1996-11-08 2000-05-16 Buckley; B. Shawn Computer aided inspection machine
JPH10171965A (ja) 1996-12-05 1998-06-26 Toshiba Corp 積算型エリアセンサの画像入力方法及びその装置
US6107619A (en) 1997-07-14 2000-08-22 California Institute Of Technology Delta-doped hybrid advanced detector for low energy particle detection
US6608676B1 (en) 1997-08-01 2003-08-19 Kla-Tencor Corporation System for detecting anomalies and/or features of a surface
US6201601B1 (en) 1997-09-19 2001-03-13 Kla-Tencor Corporation Sample inspection system
US6403963B1 (en) 1997-09-29 2002-06-11 California Institute Of Technology Delta-doped CCD's as low-energy particle detectors and imagers
US6278119B1 (en) 1997-10-21 2001-08-21 California Institute Of Technology Using a delta-doped CCD to determine the energy of a low-energy particle
US6297879B1 (en) 1998-02-27 2001-10-02 Micron Technology, Inc. Inspection method and apparatus for detecting defects on photomasks
US6162707A (en) 1998-05-18 2000-12-19 The Regents Of The University Of California Low work function, stable thin films
DE19829172A1 (de) 1998-06-30 2000-01-05 Univ Konstanz Verfahren zur Herstellung von Antireflexschichten
US6373869B1 (en) 1998-07-30 2002-04-16 Actinix System and method for generating coherent radiation at ultraviolet wavelengths
US6013399A (en) 1998-12-04 2000-01-11 Advanced Micro Devices, Inc. Reworkable EUV mask materials
US6535531B1 (en) 2001-11-29 2003-03-18 Cymer, Inc. Gas discharge laser with pulse multiplier
US6657178B2 (en) 1999-07-20 2003-12-02 Intevac, Inc. Electron bombarded passive pixel sensor imaging
US6285018B1 (en) 1999-07-20 2001-09-04 Intevac, Inc. Electron bombarded active pixel sensor
US6307586B1 (en) 1999-07-20 2001-10-23 Intevac, Inc. Electron bombarded active pixel sensor camera incorporating gain control
US6549647B1 (en) 2000-01-07 2003-04-15 Cyberoptics Corporation Inspection system with vibration resistant video capture
JP2002033473A (ja) 2000-07-17 2002-01-31 Hamamatsu Photonics Kk 半導体装置
US6879390B1 (en) 2000-08-10 2005-04-12 Kla-Tencor Technologies Corporation Multiple beam inspection apparatus and method
US6507147B1 (en) 2000-08-31 2003-01-14 Intevac, Inc. Unitary vacuum tube incorporating high voltage isolation
US7136159B2 (en) 2000-09-12 2006-11-14 Kla-Tencor Technologies Corporation Excimer laser inspection system
JP2002184302A (ja) 2000-12-18 2002-06-28 Hamamatsu Photonics Kk 半導体光電陰極
US6545281B1 (en) 2001-07-06 2003-04-08 The United States Of America As Represented By The United States Department Of Energy Pocked surface neutron detector
JP3573725B2 (ja) 2001-08-03 2004-10-06 川崎重工業株式会社 X線顕微鏡装置
JP2003043533A (ja) 2001-08-03 2003-02-13 Kitakyushu Foundation For The Advancement Of Industry Science & Technology レーザーの第二高調波の方向を一定に保つための自動追尾装置
US6747258B2 (en) 2001-10-09 2004-06-08 Itt Manufacturing Enterprises, Inc. Intensified hybrid solid-state sensor with an insulating layer
US7015452B2 (en) 2001-10-09 2006-03-21 Itt Manufacturing Enterprises, Inc. Intensified hybrid solid-state sensor
AU2002356951A1 (en) 2001-11-13 2003-05-26 Nanosciences Corporation Photocathode
JP4068340B2 (ja) 2001-12-17 2008-03-26 エルピーダメモリ株式会社 半導体集積回路装置
FR2834345B1 (fr) 2001-12-27 2004-03-26 Essilor Int Article d'optique comportant une lame quart d'onde et son procede de fabrication
US7130039B2 (en) 2002-04-18 2006-10-31 Kla-Tencor Technologies Corporation Simultaneous multi-spot inspection and imaging
JP4165129B2 (ja) 2002-06-21 2008-10-15 三菱電機株式会社 裏面入射型固体撮像素子
US20040021061A1 (en) 2002-07-30 2004-02-05 Frederik Bijkerk Photodiode, charged-coupled device and method for the production
US7446474B2 (en) 2002-10-10 2008-11-04 Applied Materials, Inc. Hetero-junction electron emitter with Group III nitride and activated alkali halide
US7283166B1 (en) 2002-10-15 2007-10-16 Lockheed Martin Corporation Automatic control method and system for electron bombarded charge coupled device (“EBCCD”) sensor
AU2003294822A1 (en) 2002-12-09 2004-06-30 Quantum Semiconductor Llc Cmos image sensor
US7005637B2 (en) 2003-01-31 2006-02-28 Intevac, Inc. Backside thinning of image array devices
US6990385B1 (en) 2003-02-03 2006-01-24 Kla-Tencor Technologies Corporation Defect detection using multiple sensors and parallel processing
GB2398118B (en) 2003-02-07 2006-03-15 Imp College Innovations Ltd Photon arrival time detection
US7141785B2 (en) 2003-02-13 2006-11-28 Micromass Uk Limited Ion detector
US7957066B2 (en) 2003-02-21 2011-06-07 Kla-Tencor Corporation Split field inspection system using small catadioptric objectives
US7471315B2 (en) 2003-03-14 2008-12-30 Aptina Imaging Corporation Apparatus and method for detecting and compensating for illuminant intensity changes within an image
US7813406B1 (en) 2003-10-15 2010-10-12 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Temporal laser pulse manipulation using multiple optical ring-cavities
US7166878B2 (en) 2003-11-04 2007-01-23 Sarnoff Corporation Image sensor with deep well region and method of fabricating the image sensor
US7023126B2 (en) 2003-12-03 2006-04-04 Itt Manufacturing Enterprises Inc. Surface structures for halo reduction in electron bombarded devices
US7321468B2 (en) 2003-12-15 2008-01-22 Carl Zeiss Laser Optics Gmbh Method and optical arrangement for beam guiding of a light beam with beam delay
US7313155B1 (en) 2004-02-12 2007-12-25 Liyue Mu High power Q-switched laser for soft tissue ablation
JP2005241290A (ja) 2004-02-24 2005-09-08 Toshiba Corp 画像入力装置及び検査装置
JP4365255B2 (ja) 2004-04-08 2009-11-18 浜松ホトニクス株式会社 発光体と、これを用いた電子線検出器、走査型電子顕微鏡及び質量分析装置
US7301263B2 (en) 2004-05-28 2007-11-27 Applied Materials, Inc. Multiple electron beam system with electron transmission gates
KR100688497B1 (ko) 2004-06-28 2007-03-02 삼성전자주식회사 이미지 센서 및 그 제조방법
US7141791B2 (en) 2004-09-07 2006-11-28 Kla-Tencor Technologies Corporation Apparatus and method for E-beam dark field imaging
JP4500641B2 (ja) 2004-09-29 2010-07-14 株式会社日立ハイテクノロジーズ 欠陥検査方法およびその装置
US7609303B1 (en) 2004-10-12 2009-10-27 Melexis Tessenderlo Nv Low noise active pixel image sensor using a modified reset value
US7455565B2 (en) 2004-10-13 2008-11-25 The Board Of Trustees Of The Leland Stanford Junior University Fabrication of group III-nitride photocathode having Cs activation layer
US7952633B2 (en) 2004-11-18 2011-05-31 Kla-Tencor Technologies Corporation Apparatus for continuous clocking of TDI sensors
US7609309B2 (en) 2004-11-18 2009-10-27 Kla-Tencor Technologies Corporation Continuous clocking of TDI sensors
US7432517B2 (en) 2004-11-19 2008-10-07 Asml Netherlands B.V. Pulse modifier, lithographic apparatus, and device manufacturing method
US7491943B2 (en) 2005-01-13 2009-02-17 Whitehead Institute For Biomedical Research Method and apparatus for UV imaging
JP4751617B2 (ja) 2005-01-21 2011-08-17 株式会社日立ハイテクノロジーズ 欠陥検査方法及びその装置
US7485486B2 (en) 2005-03-18 2009-02-03 Intersil Americas Inc. Photodiode for multiple wavelength operation
EP1716964B1 (en) 2005-04-28 2009-01-21 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device and laser irradiation apparatus
US7531826B2 (en) 2005-06-01 2009-05-12 Intevac, Inc. Photocathode structure and operation
EP1734584A1 (en) 2005-06-14 2006-12-20 Photonis-DEP B.V. Electron bombarded image sensor array device as well as such an image sensor array
US7345825B2 (en) 2005-06-30 2008-03-18 Kla-Tencor Technologies Corporation Beam delivery system for laser dark-field illumination in a catadioptric optical system
JP5063875B2 (ja) 2005-07-27 2012-10-31 パナソニック株式会社 光半導体装置の製造方法
JP5403852B2 (ja) 2005-08-12 2014-01-29 株式会社荏原製作所 検出装置及び検査装置
JP4142734B2 (ja) * 2005-09-16 2008-09-03 松下電器産業株式会社 回折光学素子
EP1938059A4 (en) 2005-09-21 2009-09-30 Rjs Technology Inc SYSTEM AND METHOD FOR OBTAINING A SENSOR ELEMENT OR A HIGH DYNAMIC RANGE OF SENSORS MATRIX
JP4939033B2 (ja) 2005-10-31 2012-05-23 浜松ホトニクス株式会社 光電陰極
US7715459B2 (en) 2005-11-01 2010-05-11 Cymer, Inc. Laser system
JP2007133102A (ja) * 2005-11-09 2007-05-31 Canon Inc 反射防止膜を有する光学素子及びそれを有する露光装置
US7247835B2 (en) 2005-12-20 2007-07-24 Keng Yeam Chang Optical navigation device, and method for manufacturing same
US7528943B2 (en) 2005-12-27 2009-05-05 Kla-Tencor Technologies Corporation Method and apparatus for simultaneous high-speed acquisition of multiple images
KR100768200B1 (ko) 2006-02-01 2007-10-17 삼성에스디아이 주식회사 광학 필터 및 이를 채용한 플라즈마 디스플레이 패널
JP4992446B2 (ja) 2006-02-24 2012-08-08 ソニー株式会社 固体撮像装置及びその製造方法、並びにカメラ
JP4911494B2 (ja) 2006-03-18 2012-04-04 国立大学法人大阪大学 波長変換光学素子、波長変換光学素子の製造方法、波長変換装置、紫外線レーザ照射装置およびレーザ加工装置
JP4706850B2 (ja) 2006-03-23 2011-06-22 富士フイルム株式会社 ノズルプレートの製造方法、液滴吐出ヘッド及び画像形成装置
US7598178B2 (en) 2006-03-24 2009-10-06 Applied Materials, Inc. Carbon precursors for use during silicon epitaxial film formation
US7113325B1 (en) 2006-05-03 2006-09-26 Mitsubishi Materials Corporation Wavelength conversion method with improved conversion efficiency
WO2007146938A2 (en) 2006-06-13 2007-12-21 Invent Technologies Llc Apparatus and method for deep ultraviolet optical microscopy
US7457330B2 (en) 2006-06-15 2008-11-25 Pavilion Integration Corporation Low speckle noise monolithic microchip RGB lasers
US8482197B2 (en) 2006-07-05 2013-07-09 Hamamatsu Photonics K.K. Photocathode, electron tube, field assist type photocathode, field assist type photocathode array, and field assist type electron tube
US7791170B2 (en) 2006-07-10 2010-09-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a deep junction for electrical crosstalk reduction of an image sensor
US7800040B2 (en) 2006-09-21 2010-09-21 California Institute Of Technology Method for growing a back surface contact on an imaging detector used in conjunction with back illumination
KR100826407B1 (ko) 2006-10-12 2008-05-02 삼성전기주식회사 자외선 수광용 포토 다이오드 및 이를 포함하는 이미지센서
KR100874954B1 (ko) 2006-12-04 2008-12-19 삼성전자주식회사 후면 수광 이미지 센서
US20080173903A1 (en) 2006-12-28 2008-07-24 Fujifilm Corporation Solid-state image pickup element
JP5342769B2 (ja) 2006-12-28 2013-11-13 浜松ホトニクス株式会社 光電陰極、電子管及び光電子増倍管
US9771666B2 (en) 2007-01-17 2017-09-26 Crystal Is, Inc. Defect reduction in seeded aluminum nitride crystal growth
CN107059116B (zh) 2007-01-17 2019-12-31 晶体公司 引晶的氮化铝晶体生长中的缺陷减少
US20110073982A1 (en) 2007-05-25 2011-03-31 Armstrong J Joseph Inspection system using back side illuminated linear sensor
US8138485B2 (en) 2007-06-25 2012-03-20 Asml Netherlands B.V. Radiation detector, method of manufacturing a radiation detector, and lithographic apparatus comprising a radiation detector
US7586108B2 (en) 2007-06-25 2009-09-08 Asml Netherlands B.V. Radiation detector, method of manufacturing a radiation detector and lithographic apparatus comprising a radiation detector
WO2009009081A2 (en) 2007-07-10 2009-01-15 Massachusetts Institute Of Technology Tomographic phase microscopy
US8325337B2 (en) 2007-07-13 2012-12-04 Purdue Research Foundation Time resolved raman spectroscopy
US7999342B2 (en) 2007-09-24 2011-08-16 Taiwan Semiconductor Manufacturing Company, Ltd Image sensor element for backside-illuminated sensor
JP5039495B2 (ja) 2007-10-04 2012-10-03 ルネサスエレクトロニクス株式会社 マスクブランク検査方法、反射型露光マスクの製造方法、反射型露光方法および半導体集積回路の製造方法
US7525649B1 (en) 2007-10-19 2009-04-28 Kla-Tencor Technologies Corporation Surface inspection system using laser line illumination with two dimensional imaging
US7605376B2 (en) 2007-10-29 2009-10-20 Fairchild Imaging, Inc. CMOS sensor adapted for dental x-ray imaging
JP5132262B2 (ja) 2007-11-02 2013-01-30 三菱電機株式会社 裏面入射型リニアイメージセンサ、その駆動方法、及びその製造方法
US7838833B1 (en) 2007-11-30 2010-11-23 Kla-Tencor Technologies Corporation Apparatus and method for e-beam dark imaging with perspective control
US7741666B2 (en) 2008-02-08 2010-06-22 Omnivision Technologies, Inc. Backside illuminated imaging sensor with backside P+ doped layer
US7714287B1 (en) 2008-06-05 2010-05-11 Kla-Tencor Corporation Apparatus and method for obtaining topographical dark-field images in a scanning electron microscope
US8471939B2 (en) 2008-08-01 2013-06-25 Omnivision Technologies, Inc. Image sensor having multiple sensing layers
US7723686B2 (en) 2008-08-14 2010-05-25 Hanvision Co., Ltd. Image sensor for detecting wide spectrum and method of manufacturing the same
US20120170021A1 (en) 2008-09-02 2012-07-05 Phillip Walsh Method and apparatus for providing multiple wavelength reflectance magnitude and phase for a sample
US7875948B2 (en) 2008-10-21 2011-01-25 Jaroslav Hynecek Backside illuminated image sensor
US7880127B2 (en) 2008-10-27 2011-02-01 Itt Manufacturing Enterprises, Inc. Apparatus and method for aligning an image sensor including a header alignment means
US7952096B2 (en) 2008-12-08 2011-05-31 Omnivision Technologies, Inc. CMOS image sensor with improved backside surface treatment
US8017427B2 (en) 2008-12-31 2011-09-13 Omnivision Technologies, Inc. Backside-illuminated (BSI) image sensor with backside diffusion doping
EP2380047B1 (en) 2009-01-22 2018-07-11 BAE Systems Information and Electronic Systems Integration Inc. Corner cube enhanced photocathode
US8624971B2 (en) 2009-01-23 2014-01-07 Kla-Tencor Corporation TDI sensor modules with localized driving and signal processing circuitry for high speed inspection
US8175373B2 (en) 2009-02-16 2012-05-08 Kla-Tencor Corporation Use of design information and defect image information in defect classification
KR20100103238A (ko) 2009-03-13 2010-09-27 삼성전자주식회사 에피 웨이퍼 제조 방법 및 그에 의해 제조된 에피 웨이퍼, 및 상기 에피 웨이퍼로 제조한 이미지 센서
US20100301437A1 (en) 2009-06-01 2010-12-02 Kla-Tencor Corporation Anti-Reflective Coating For Sensors Suitable For High Throughput Inspection Systems
US7985658B2 (en) 2009-06-08 2011-07-26 Aptina Imaging Corporation Method of forming substrate for use in imager devices
JP5748748B2 (ja) 2009-06-19 2015-07-15 ケーエルエー−テンカー・コーポレーションKla−Tencor Corporation 極紫外線検査システム
US20120081684A1 (en) 2009-06-22 2012-04-05 Asml Netherlands B.V. Object Inspection Systems and Methods
EP2454749A4 (en) 2009-07-17 2013-09-04 Kla Tencor Corp ENERGY ANALYZER OF CHARGED PARTICLES
US8536625B2 (en) 2009-09-11 2013-09-17 Massachusetts Institute Of Technology Electronic shutter with photogenerated charge extinguishment capability for back-illuminated image sensors
CN102035085B (zh) 2009-10-08 2014-03-05 群康科技(深圳)有限公司 导电结构及其制造方法
US8629384B1 (en) 2009-10-26 2014-01-14 Kla-Tencor Corporation Photomultiplier tube optimized for surface inspection in the ultraviolet
EP2513670B1 (en) 2009-12-15 2020-02-05 Saint-Gobain Ceramics & Plastics, Inc. Radiation detection system and method of analyzing an electrical pulse output by a radiation detector
EP2346094A1 (en) 2010-01-13 2011-07-20 FEI Company Method of manufacturing a radiation detector
WO2011091159A1 (en) 2010-01-21 2011-07-28 Roper Scientific, Inc. Solid state back- illuminated photon sensor and its method of fabrication
PT3241840T (pt) 2010-01-22 2022-10-13 Univ Leland Stanford Junior Inibição da sinalização de axl em terapêutica anti-metastática
US8558234B2 (en) 2010-02-11 2013-10-15 California Institute Of Technology Low voltage low light imager and photodetector
JP2013524217A (ja) 2010-03-29 2013-06-17 インテヴァック インコーポレイテッド 時間分解フォトルミネッセンス撮像システム及び光電池検査方法
JP5663925B2 (ja) * 2010-03-31 2015-02-04 ソニー株式会社 固体撮像装置、および、その製造方法、電子機器
US8269223B2 (en) 2010-05-27 2012-09-18 The United States Of America As Represented By The Secretary Of The Army Polarization enhanced avalanche photodetector and method thereof
US9123622B2 (en) 2010-06-23 2015-09-01 California Institute Of Technology Atomic layer deposition of high performance anti reflection coatings on delta-doped CCDs
US8310021B2 (en) 2010-07-13 2012-11-13 Honeywell International Inc. Neutron detector with wafer-to-wafer bonding
US8686331B2 (en) 2010-08-08 2014-04-01 Kla-Tencor Corporation Dynamic wavefront control of a frequency converted laser system
US9165971B2 (en) 2010-10-25 2015-10-20 California Institute Of Technology Atomically precise surface engineering for producing imagers
US8669512B2 (en) 2010-12-28 2014-03-11 Technion Research & Development Foundation Limited System and method for analyzing light by three-photon counting
US8513587B2 (en) 2011-01-24 2013-08-20 Taiwan Semiconductor Manufacturing Company, Ltd. Image sensor with anti-reflection layer and method of manufacturing the same
US8455971B2 (en) 2011-02-14 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for improving charge transfer in backside illuminated image sensor
JP2012175067A (ja) * 2011-02-24 2012-09-10 Sony Corp 撮像素子、製造方法、および電子機器
JP2012189385A (ja) 2011-03-09 2012-10-04 Fujifilm Corp 放射線画像検出装置の保守方法
US9318870B2 (en) 2011-05-06 2016-04-19 Kla-Tencor Corporation Deep ultra-violet light sources for wafer and reticle inspection systems
JP5731444B2 (ja) 2011-07-07 2015-06-10 富士フイルム株式会社 放射線検出器、放射線画像撮影装置、及び放射線画像撮影システム
US9279774B2 (en) 2011-07-12 2016-03-08 Kla-Tencor Corp. Wafer inspection
ITTO20110649A1 (it) 2011-07-19 2013-01-20 St Microelectronics Srl Dispositivo di fotorivelazione con copertura protettiva e antiriflesso, e relativo metodo di fabbricazione
US8871557B2 (en) 2011-09-02 2014-10-28 Electronics And Telecommunications Research Institute Photomultiplier and manufacturing method thereof
US9076639B2 (en) 2011-09-07 2015-07-07 Kla-Tencor Corporation Transmissive-reflective photocathode
US8748828B2 (en) 2011-09-21 2014-06-10 Kla-Tencor Corporation Interposer based imaging sensor for high-speed image acquisition and inspection systems
US8872159B2 (en) 2011-09-29 2014-10-28 The United States Of America, As Represented By The Secretary Of The Navy Graphene on semiconductor detector
US9123608B2 (en) 2011-12-09 2015-09-01 Taiwan Semiconductor Manufacturing Company, Ltd. Backside illuminated CMOS image sensor
US10197501B2 (en) 2011-12-12 2019-02-05 Kla-Tencor Corporation Electron-bombarded charge-coupled device and inspection systems using EBCCD detectors
US9389166B2 (en) 2011-12-16 2016-07-12 Kla-Tencor Corporation Enhanced high-speed logarithmic photo-detector for spot scanning system
US8754972B2 (en) 2012-02-01 2014-06-17 Kla-Tencor Corporation Integrated multi-channel analog front end and digitizer for high speed imaging applications
US9496425B2 (en) * 2012-04-10 2016-11-15 Kla-Tencor Corporation Back-illuminated sensor with boron layer
US10079257B2 (en) * 2012-04-13 2018-09-18 Taiwan Semiconductor Manufacturing Co., Ltd. Anti-reflective layer for backside illuminated CMOS image sensors
US20130313440A1 (en) 2012-05-22 2013-11-28 Kla-Tencor Corporation Solid-State Laser And Inspection System Using 193nm Laser
KR101914231B1 (ko) 2012-05-30 2018-11-02 삼성디스플레이 주식회사 주사 전자 현미경을 이용한 검사 시스템
US8658973B2 (en) 2012-06-12 2014-02-25 Kla-Tencor Corporation Auger elemental identification algorithm
US8953869B2 (en) 2012-06-14 2015-02-10 Kla-Tencor Corporation Apparatus and methods for inspecting extreme ultra violet reticles
US9601299B2 (en) 2012-08-03 2017-03-21 Kla-Tencor Corporation Photocathode including silicon substrate with boron layer
NL2011568A (en) * 2012-10-31 2014-05-06 Asml Netherlands Bv Sensor and lithographic apparatus.
US8921782B2 (en) 2012-11-30 2014-12-30 Kla-Tencor Corporation Tilt-imaging scanning electron microscope
US9426400B2 (en) 2012-12-10 2016-08-23 Kla-Tencor Corporation Method and apparatus for high speed acquisition of moving images using pulsed illumination
US8929406B2 (en) 2013-01-24 2015-01-06 Kla-Tencor Corporation 193NM laser and inspection system
US8912615B2 (en) 2013-01-24 2014-12-16 Osi Optoelectronics, Inc. Shallow junction photodiode for detecting short wavelength light
US9478402B2 (en) 2013-04-01 2016-10-25 Kla-Tencor Corporation Photomultiplier tube, image sensor, and an inspection system using a PMT or image sensor
US9350921B2 (en) 2013-06-06 2016-05-24 Mitutoyo Corporation Structured illumination projection with enhanced exposure control
US9347890B2 (en) 2013-12-19 2016-05-24 Kla-Tencor Corporation Low-noise sensor and an inspection system using a low-noise sensor

Also Published As

Publication number Publication date
WO2015147963A2 (en) 2015-10-01
TWI675463B (zh) 2019-10-21
JP2017509142A (ja) 2017-03-30
US10269842B2 (en) 2019-04-23
US20170338257A1 (en) 2017-11-23
TW201532260A (zh) 2015-08-16
US9748294B2 (en) 2017-08-29
US20150200216A1 (en) 2015-07-16
DE112015000383T5 (de) 2016-10-13
WO2015147963A3 (en) 2015-11-19

Similar Documents

Publication Publication Date Title
JP6691704B2 (ja) 裏面照射型センサのための反射防止層
JP6458107B2 (ja) ボロン層を有する裏面照光センサ
US11114489B2 (en) Back-illuminated sensor and a method of manufacturing a sensor
TWI814961B (zh) 背照明感測器及製造一感測器之方法
US20240063248A1 (en) Back-Illuminated Sensor And A Method Of Manufacturing A Sensor Using A Silicon On Insulator Wafer

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20160912

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20160912

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20171211

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20180608

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180612

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20180912

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20181002

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190205

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190425

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20190903

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20191106

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20200310

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20200401

R150 Certificate of patent or registration of utility model

Ref document number: 6691704

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250