JP5802323B2 - エッチング処理方法 - Google Patents

エッチング処理方法 Download PDF

Info

Publication number
JP5802323B2
JP5802323B2 JP2014244830A JP2014244830A JP5802323B2 JP 5802323 B2 JP5802323 B2 JP 5802323B2 JP 2014244830 A JP2014244830 A JP 2014244830A JP 2014244830 A JP2014244830 A JP 2014244830A JP 5802323 B2 JP5802323 B2 JP 5802323B2
Authority
JP
Japan
Prior art keywords
film
etching
frequency power
gas
hole
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2014244830A
Other languages
English (en)
Other versions
JP2015043470A (ja
Inventor
宏政 持木
宏政 持木
貴史 西島
貴史 西島
文生 山▲崎▼
文生 山▲崎▼
岡本 晋
晋 岡本
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Priority to JP2014244830A priority Critical patent/JP5802323B2/ja
Publication of JP2015043470A publication Critical patent/JP2015043470A/ja
Application granted granted Critical
Publication of JP5802323B2 publication Critical patent/JP5802323B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02631Physical deposition at reduced pressure, e.g. MBE, sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)

Description

本発明は、アスペクト比が高いホール等を形成するエッチング処理方法に関する。
プラズマエッチング処理を用いて半導体ウエハから製造される半導体デバイスでは、開口部の径に比して深さが大きいパターン、例えば、アスペクト比が高いホールを形成することが求められている。
アスペクト比が大きいホールを形成するには、特に、プラズマ中の陽イオンによる対象膜のスパッタを多用する必要があるが、この場合、図12に示すように、対象膜120に形成されたホール121の底部に陽イオン122が滞留し、該滞留した陽イオン122によって続く陽イオン123がホール121の底部に到達するのを電気的に阻害し、ホール121の中において続く陽イオン123の進路を変更させることがある。その結果、ホール121が歪む等の問題が生じることがある。
これに対応して、ホールの底部へ電子を導入する手法が開発されている(例えば、特許文献1参照。)。これにより、ホールの底部に滞留した陽イオンは電気的に中和され、続く陽イオンの進路が変更されることがなくなる。
特開2007−134530号公報
しかしながら、近年、各部の微細化が進み、それに伴い、対象膜においてアスペクト比のより高いホール、例えば、アスペクト比が30以上のホールを形成することが求められている。アスペクト比が30以上となると、上述した手法を用いても、ホールが歪むのを防止できないという問題がある。
本発明の目的は、形成されるパターンのアスペクト比が高くてもパターンが歪むのを防止することができるエッチング処理方法を提供することにある。
上記目的を達成するために、請求項1記載のエッチング処理方法は、内部にプラズマが生じる処理室、該処理室内部に配置された載置台及び該載置台に対向して前記処理室内部に配置された電極を備え、前記処理室内部に比較的周波数の高い第1の高周波電力が印加され、前記載置台に前記第1の高周波電力よりも周波数が低い第2の高周波電力が印加され、前記電極に直流電力が印加される基板処理装置において、エッチング対象膜と、該エッチング対象膜上に形成されたマスク膜とを有し、且つ前記載置台に載置された基板にエッチング処理を施すエッチング処理方法であって、前記マスク膜を用いて前記エッチング対象膜をプラズマでエッチングして前記エッチング対象膜にパターンを形成する対象膜エッチングステップを有し、前記対象膜エッチングステップでは、前記直流電力を前記電極に印加するとともに、少なくとも前記第2の高周波電力を前記載置台にパルス波状に印加し、前記直流電力が前記電極に印加されている間に前記第2の高周波電力が前記載置台に印加されない状態を作り出すことにより、前記基板の表面上に発生するシースを消滅させて前記直流電力が印加される前記電極から生じる電子を前記パターンへ進入させることを特徴とする。
請求項2記載のエッチング処理方法は、請求項1記載のエッチング処理方法において、前記対象膜エッチングステップでは、前記第1の高周波電力もパルス波状に印加して前記第1の高周波電力が前記処理室内部に印加されない状態を作り出すことを特徴とする。
請求項3記載のエッチング処理方法は、請求項2記載のエッチング処理方法において、前記対象膜エッチングステップでは、前記第1の高周波電力と前記第2の高周波電力とを同期させてパルス波状に印加することを特徴とする。
請求項4記載のエッチング処理方法は、請求項1乃至3のいずれか1項に記載のエッチング処理方法において、前記対象膜エッチングステップでは、前記基板に生じるバイアス電圧の電位よりも低い電位で前記直流電力を前記電極に印加することを特徴とする。
請求項5記載のエッチング処理方法は、請求項1乃至4のいずれか1項に記載のエッチング処理方法において、前記対象膜エッチングステップでは、前記第2の高周波電力を前記載置台に、周波数が1KHz〜50KHzのいずれかのパルス波状に印加することを特徴とする。
請求項6記載のエッチング処理方法は、請求項5記載のエッチング処理方法において、前記周波数が10KHz〜50KHzのいずれかであることを特徴とする。
請求項7記載のエッチング処理方法は、請求項1乃至6のいずれか1項に記載のエッチング処理方法において、前記対象膜エッチングステップでは、パルス波状に印加される前記第2の高周波電力のデューティー比が10%〜90%のいずれかであることを特徴とする。
請求項8記載のエッチング処理方法は、請求項7記載のエッチング処理方法において、前記デューティー比が50%〜90%のいずれかであることを特徴とする。
請求項9記載のエッチング処理方法は、請求項1乃至8のいずれか1項に記載のエッチング処理方法において、前記対象膜エッチングステップでは、前記第2の高周波電力が前記載置台に印加されない状態が少なくとも5マイクロ秒継続することを特徴とする。
請求項10記載のエッチング処理方法は、請求項1乃至9のいずれか1項に記載のエッチング処理方法において、前記対象膜エッチングステップにおいて前記エッチング対象膜に形成されるパターンのアスペクト比は30以上であることを特徴とする。
請求項11記載のエッチング処理方法は、請求項1乃至10のいずれか1項に記載のエッチング処理方法において、前記マスク膜は有機膜であることを特徴とする。
請求項12記載のエッチング処理方法は、請求項1乃至10のいずれか1項に記載のエッチング処理方法において、前記マスク膜は無機膜であることを特徴とする。
請求項13記載のエッチング処理方法は、請求項12記載のエッチング処理方法において、前記無機膜は少なくともポリシリコン膜を含むことを特徴とする。
請求項14記載のエッチング処理方法は、請求項1乃至13のいずれか1項に記載のエッチング処理方法において、前記対象膜エッチングステップでは、少なくともヘリウムガスを含む混合ガスからプラズマを生成することを特徴とする。
本発明によれば、マスク膜を用いてエッチング対象膜がプラズマでエッチングされる際、直流電力が電極に印加されるとともに、第2の高周波電力が載置台へパルス波状に印加されて第2の高周波電力が載置台に印加されない状態が作り出されるので、電子を多量に発生させることができるとともに基板上のシースが消滅する状態を作り出すことができ、もって、発生した電子をエッチング対象膜に形成されるパターンの底部へ確実に導入することができる。その結果、形成されるパターンのアスペクト比が高くてもパターンが歪むのを防止することができる。
本発明の第1の実施の形態に係るエッチング処理方法を実行する基板処理装置の構成を概略的に示す図である。 従来のエッチング処理方法によって酸化膜に形成されたホールの形状を示す図であり、図2(A)は酸化膜に形成されたホールの縦断面図であり、図2(B)は酸化膜の表面からの深さが300nmにおけるホールの水平断面図であり、図2(C)は酸化膜の表面からの深さが700nmにおけるホールの水平断面図であり、図2(D)は酸化膜の表面からの深さが1500nmにおけるホールの水平断面図であり、図2(E)は酸化膜の表面からの深さが2300nmにおけるホールの水平断面図であり、図2(F)は酸化膜のホールの形成前におけるマスク膜の縦断面図であり、図2(G)は図2(F)におけるマスク膜に形成されたホールの平面図である。 本実施の形態に係るエッチング処理方法によって処理されるウエハの一部の構造を概略的に示す断面図である。 本実施の形態に係るエッチング処理方法を示す工程図である。 本実施の形態に係るエッチング処理方法におけるマスク膜のホールの形状改良を説明するための図であり、図5(A)はマスク膜のホール近傍の拡大縦断面図であり、図5(B)は形状改良前のマスク膜のホールを示す平面図であり、図5(C)は形状改良後のマスク膜のホールを示す平面図である。 本実施の形態に係るエッチング処理方法におけるマスク膜の硬化を説明するための図であり、図6(A)はマスク膜のホール近傍の拡大縦断面図であり、図6(B)は硬化前のマスク膜のホールを示す平面図であり、図6(C)は硬化後のマスク膜のホールを示す平面図である。 本実施の形態に係るエッチング処理方法におけるSiO膜におけるホールの形成を説明するための図であり、図7(A)はSiO膜のエッチングを説明するための図であり、図7(B)はホールの底部に滞留する陽イオンの電気的中和を説明するための図である。 本実施の形態に係るエッチング処理方法において印加されるプラズマ生成用の高周波電力及びイオン引き込み用の高周波電力、並びにウエハの表面近傍を流れる電流を説明するための図である。 本発明の第2の実施の形態に係るエッチング処理方法によって処理されるウエハの一部の構造を概略的に示す断面図である。 本実施の形態に係るエッチング処理方法を示す工程図である。 本実施の形態に係るエッチング処理方法におけるマスク膜のホールの形状改良を説明するための図であり、図11(A)はマスク膜のホール近傍の拡大縦断面図であり、図11(B)は形状改良前のマスク膜のホールを示す平面図であり、図11(C)は形状改良後のマスク膜のホールを示す平面図である。 従来のエッチング処理方法におけるホールの歪みの発生を説明するための縦断面図である。 第1の実施の形態に係るエッチング処理方法における高周波電力の印加の変調を説明するための図であり、図13(A)はイオン引き込み用の高周波電力の印加の変調状態を示し、図13(B)はイオン引き込み用の高周波電力のON、OFFを繰り返す際におけるイオン引き込み用の高周波電力の波形を示す。 ホールの間口におけるデポの付着の形態を説明するための図であり、図14(A)は連続印加の場合を示し、図14(B)はパルス波状印加の場合を示す。 連続印加の場合及びパルス波状印加の場合の処理室内における電子密度の変化の形態を説明するための図である。 連続印加の場合及びパルス波状印加の場合の処理室内における電子温度の変化の形態を説明するための図である。 ラジカルの付着形態を説明するための図であり、図17(A)は連続印加の場合を示し、図17(B)はパルス波状印加の場合を示す。 連続印加の場合において、Heガスを希ガスとして含む混合ガスを用いたときのラジカルの付着形態を説明するための図である。
以下、本発明の実施の形態について図面を参照しながら説明する。
まず、本発明の第1の実施の形態に係るエッチング処理方法を実行する基板処理装置について説明する。
図1は、本実施の形態に係るエッチング処理方法を実行する基板処理装置の構成を概略的に示す図である。本基板処理装置は、基板としての半導体デバイス用のウエハ(以下、単に「ウエハ」という。)にプラズマエッチング処理を施す。
図1において、基板処理装置10は、例えば、直径が300mのウエハWを収容するチャンバ11を有し、該チャンバ11内部には半導体デバイス用のウエハWを載置する円柱状のサセプタ12が配置されている。基板処理装置10では、チャンバ11の内部側壁とサセプタ12の側面とによって側方排気路13が形成される。この側方排気路13の途中には排気プレート14が配置される。
排気プレート14は多数の貫通孔を有する板状部材であり、チャンバ11内部を上部と下部に仕切る仕切り板として機能する。排気プレート14によって仕切られたチャンバ11内部の上部(以下、「処理室」という。)15には後述するようにプラズマが発生する。また、チャンバ11内部の下部(以下、「排気室(マニホールド)」という。)16にはチャンバ11内部のガスを排出する排気管17が接続される。排気プレート14は処理室15に発生するプラズマを捕捉又は反射してマニホールド16への漏洩を防止する。
排気管17にはTMP(Turbo Molecular Pump)及びDP(Dry Pump)(ともに図示しない)が接続され、これらのポンプはチャンバ11内部を真空引きして減圧する。なお、チャンバ11内部の圧力はAPCバルブ(図示しない)によって制御される。
チャンバ11内部のサセプタ12には第1の高周波電源18が第1の整合器19を介して接続され、且つ第2の高周波電源20が第2の整合器21を介して接続されており、第1の高周波電源18は比較的高い周波数、例えば、40MHzのプラズマ生成用の高周波電力(第1の高周波電力)をサセプタ12に印加し、第2の高周波電源20は比較的低い周波数、例えば、2MHzのイオン引き込み用の高周波電力(第2の高周波電力)をサセプタ12に印加する。これにより、サセプタ12は電極として機能する。また、第1の整合器19及び第2の整合器21は、サセプタ12からの高周波電力の反射を低減して高周波電力のサセプタ12への印加効率を最大にする。
サセプタ12の上部は、大径の円柱の先端から小径の円柱が同心軸に沿って突出している形状を呈し、該上部には小径の円柱を囲うように段差が形成される。小径の円柱の先端には静電電極板22を内部に有するセラミックスからなる静電チャック23が配置されている。静電電極板22には第1の直流電源24が接続されており、静電電極板22に正の電位の直流電力が印加されると、ウエハWにおける静電チャック23側の面(以下、「裏面」という。)には負電位が発生して静電電極板22及びウエハWの裏面の間に電位差が生じ、該電位差に起因するクーロン力又はジョンソン・ラーベック力により、ウエハWは静電チャック23に吸着保持される。
また、サセプタ12の上部には、静電チャック23に吸着保持されたウエハWを囲うように、フォーカスリング25がサセプタ12の上部における段差へ載置される。フォーカスリング25はSiからなる。すなわち、フォーカスリング25は半導電体からなるので、プラズマの分布域をウエハW上だけでなく該フォーカスリング25上まで拡大してウエハWの周縁部上におけるプラズマの密度を該ウエハWの中央部上におけるプラズマの密度と同程度に維持する。これにより、ウエハWの全面に施されるプラズマエッチング処理の均一性を確保する。
チャンバ11の天井部には、サセプタ12と対向するようにシャワーヘッド26が配置される。シャワーヘッド26は、例えば、シリコンからなる上部電極板27と、該上部電極板27を着脱可能に釣支するクーリングプレート28と、該クーリングプレート28を覆う蓋体29とを有する。上部電極板27は厚み方向に貫通する多数のガス孔30を有する円板状部材からなり、半導電体であるSiによって構成される。また、クーリングプレート28の内部にはバッファ室31が設けられ、このバッファ室31には処理ガス導入管32が接続されており、処理ガス導入管32は処理ガス供給装置(図示しない)に接続されている。
処理ガス供給装置は、例えば、各種ガスの流量比を適切に調整して混合ガスを生成し、該混合ガスを処理ガス導入管32、バッファ室31及びガス孔30を介して処理室15内部へ導入する。
また、シャワーヘッド26の上部電極板27には第2の直流電源33が接続され、上部電極板27へ負の電位の直流電力が印加される。このとき、上部電極板27には陽イオンが打ち込まれ、これに伴い、上部電極板27は(二次)電子を放出して処理室15内部のプラズマにおける電子密度分布を改善する。
基板処理装置10では、処理室15内部へ導入された処理ガスが第1の高周波電源18からサセプタ12を介して処理室15内部へ印加されたプラズマ生成用の高周波電力によって励起されてプラズマとなる。該プラズマ中の陽イオンは、第2の高周波電源20がサセプタ12に印加するイオン引き込み用の高周波電力によってウエハWに向けて引きこまれ、該ウエハWにプラズマエッチング処理を施す。
ところで、上述したように、例えば、アスペクト比が30以上のホールをプラズマエッチング処理によって形成する場合、上述した特許文献1に係る手法を用いても、ホールが歪む。
そこで、本発明者は、図2(A)に示すような、従来のエッチング処理方法によって歪んだホール34における、酸化膜35の表面からの深さがそれぞれ300nm(アスペクト比が4に相当)、700nm(アスペクト比が9に相当)、1500nm(アスペクト比が20に相当)及び2300nm(アスペクト比が30に相当)の各水平断面36a〜36dを観察したところ、図2(B)〜図2(E)に示すように、ホール34は底部近傍のみで歪んでいる訳ではなく、比較的浅い部分においても歪んでおり、且つ各水平断面36a〜36dにおける歪みの傾向が同じであることを確認した。
また、本発明者は、図2(F)に示すような、ホール34の形成前における酸化膜35上のマスク膜37におけるホール38の形状を確認したところ、図2(G)に示すように、ホール38は平面視において歪み、該歪みの傾向は各水平断面36a〜36dにおける歪みの傾向と同じであることを確認した。
これらの確認された事実を熟考した結果、本発明者は、ホール34が歪む主因はホール38の形状不良であり、プラズマエッチング処理によって酸化膜35においてホール34を形成する際、マスク膜37におけるホール38の歪みがホール34に反映されるとの知見を得るに至った。
本実施の形態に係るエッチング処理方法では、この知見に基づいて、酸化膜においてホールを形成する前に、マスク膜におけるホールの歪みを解消する。
以下、本実施の形態に係るエッチング処理方法について詳細に説明する。
図3は、本実施の形態に係るエッチング処理方法によって処理されるウエハの一部の構造を概略的に示す断面図である。
図3において、ウエハWは、基部となるシリコン部39と、該シリコン部39上に形成された、例えば、厚さが2600nmのSiO膜40(エッチング対象膜)と、該SiO膜40上に形成された、例えば、厚さが900nmのカーボン膜41と、該カーボン膜41上に形成されたSiON膜42と、該SiON膜42上に形成されたBARC膜(反射防止膜)43と、該BARC膜43上に形成され且つBARC膜43を露出させるホール44(パターン)を有するフォトレジスト膜45とを備える。カーボン膜41、SiON膜42、BARC膜43及びフォトレジスト膜45は全て有機系の膜(有機膜)である。
図4は、本実施の形態に係るエッチング処理方法を示す工程図である。
図4において、まず、ウエハWをチャンバ11内部のサセプタ12に載置して静電チャック23に吸着保持させる(図4(A))。
次いで、チャンバ11内部を排気管17によって減圧し、該内部の圧力をAPCバルブにより、例えば、15mTorr(1.96Pa)に設定し、流量が、例えば、300sccmのCOガスと、流量が、例えば、300sccmのAr(アルゴン)ガスとの混合ガスをシャワーヘッド26から処理室15内部へ導入し、上部電極板27へ直流電力を印加することなく、処理室15内部へ、例えば、200Wのプラズマ生成用の高周波電力を印加し、且つサセプタ12へ、例えば、300Wのイオン引き込み用の高周波電力を印加する(パターン形状改良ステップ)。
このとき、図5(A)に示すように、プラズマ生成用の高周波電力によって混合ガスが励起されてプラズマが生じるとともに、イオン引き込み用の高周波電力に起因してウエハWの表面上にシース46が発生する。シースは、プラズマ中の電子及び陽イオンのウエハへの到達速度の違いから生じるプラズマ粒子密度、特に、電子密度の低い領域であり、陽イオンをウエハに向けて加速するとともに、電子のウエハへの進行を阻止する。
ここで、イオン引き込み用の高周波電力の出力値が比較的低いので、生成されるシース46は薄く、プラズマ中の陽イオン47をさほど加速しない。したがって、各陽イオン47はフォトレジスト膜45を弱くスパッタする。このとき、ホール44の歪みの大部分を構成するホール44の裾部44aや突出形状44bが優先的にスパッタされて除去される。また、プラズマ中のラジカルも裾部44aや突出形状44bと優先的に化学反応してこれらを除去する。その結果、図5(B)に示すような歪んだホール44の形状が改良され、図5(C)に示すような真円形状に近付く。
上述したホール44の形状改良の際、混合ガスに、上述したCOガスではなく、例えば、Oガス、COガス、H/Nガス、NHガスのいずれかを混合してもよく、また、必要に応じて希ガス、例えば、ArガスやOガスをさらに添加してもよい。
また、チャンバ11内部の圧力、印加されるプラズマ生成用の高周波電力及びイオン引き込み用の高周波電力の出力値、混合ガスの流量も必要に応じて変更してもよい。例えば、上述した混合ガスの代わりに、流量が、例えば、5sccmのO2ガスと、流量が、例えば、10sccmのCOSガスと、流量が、例えば、300sccmのArガスとの混合ガスを処理室15内部へ導入してもよい。
さらに、必要に応じて上部電極板27へ直流電力を印加してもよい。この場合、処理室15内部のプラズマにおける電子密度分布が改善され、ホール44の形状改良をウエハWの全表面に亘ってほぼ均一に行うことができる。
上述したホール44の形状改良の際、確実にホール44の形状を改良するために、ホール44の径が所望の径よりも大きくなるまでフォトレジスト膜45がエッチングされる。それに伴い、フォトレジスト膜45の膜厚も薄くなるため、SiO膜40においてプラズマのエッチングによって後述のホール51を形成する際、ホール51の深さが所望値に達する前にフォトレジスト膜45が消耗して無くなる虞がある。
本実施の形態に係るエッチング処理方法では、これに対応して、ホール44の形状改良後であって、SiO膜40においてホール51を形成する前に、フォトレジスト膜45、BARC膜43、SiON膜42やカーボン膜41を硬化させる。例えば、図4(B)に示すように、フォトレジスト膜45等の表面に硬化層48を形成する。
ここでは、ホール44の形状を改良した後、チャンバ11内部の圧力をAPCバルブにより、例えば、50mTorr(6.67Pa)に設定し、流量が、例えば、100sccmのHガスと、流量が、例えば、40sccmのCFガスと、流量が、例えば、800sccmのArガスとの混合ガスを処理室15内部へ導入し、上部電極板27へ、例えば、−900Vの直流電力を印加し、処理室15内部へ、例えば、300Wのプラズマ生成用の高周波電力を印加する一方、サセプタ12へはイオン引き込み用の高周波電力を印加しない(マスク膜硬化ステップ)。
このとき、図6(A)に示すように、混合ガスからプラズマが生じるだけでなく、上部電極板27は電子49を放出して処理室15内部の電子密度が高まる。また、プラズマ生成用の高周波電力に起因してウエハWにセルフバイアス電圧が生じ、該セルフバイアス電圧に起因してウエハWの表面上シース50が発生する。このシース50は極めて薄く、電子49のウエハWへの進行を殆ど阻止しない。したがって、処理室15内部の電子49がフォトレジスト膜45やホール44において露出するBARC膜43に到達して接触する。一般に有機系の膜は電子と接触すると硬化するので、フォトレジスト膜45やBARC膜43の表面に硬化層48が形成される。さらに、電子49はフォトレジスト膜45と接触するだけでなく、フォトレジスト膜45やその下に形成されたBARC膜43、SiON膜42及びカーボン膜41までドープされ、これらの膜を硬化する。
また、CFガスはデポ性のガスであるため、CFガスのプラズマはフォトレジスト膜45との反応においてデポを生成し、該デポはフォトレジスト膜45やBARC膜43の表面、特に、ホール44の内部表面に付着する。これにより、図6(B)に示すような径が大きくなったホール44を、図6(C)に示すような所望の径を有するホール44に戻すことができる。
上述したフォトレジスト膜45等の硬化の際、上述したHガス、CFガス及びArガスの混合ガスではなく、例えば、Hガス及びArガスの混合ガス、Hガス、COSガス及びArガスの混合ガスやCOSガス、CFガス及びArガスの混合ガスを用いてもよい。
また、チャンバ11内部の圧力、印加される直流電力及びプラズマ生成用の高周波電力の出力値、混合ガスの流量も必要に応じて変更してもよく、例えば、−900V以下の直流電力を上部電極板27へ印加してもよい。この場合、上部電極板27から放出される電子の量を増やすことができるとともに、ウエハWと上部電極板27の電位差の絶対値を所定値以上確保することができる。その結果、フォトレジスト膜45やBARC膜43に到達して接触する電子の数を増加させることができる。
なお、本実施の形態に係るエッチング処理方法では、上述したホール44の形状改良及びフォトレジスト膜45等の硬化はそれぞれ1回ずつ行われる。
次いで、フォトレジスト膜45等が硬化された後、図4(C)に示すように、SiO膜40においてプラズマのエッチングによって後述のホール51を形成する。
ここでは、フォトレジスト膜45等が硬化された後、チャンバ11内部の圧力をAPCバルブにより、例えば、30mTorr(4.00Pa)に設定し、流量が、例えば、32sccmのCガスと、流量が、例えば、16sccmのCガスと、流量が、例えば、24sccmのCFガスと、流量が、例えば、600sccmのArガスと、流量が、例えば、36sccmのOガスとの混合ガスを処理室15内部へ導入し、上部電極板27へ、例えば、−300Vの直流電力を印加し、処理室15内部へ、例えば、2200Wのプラズマ生成用の高周波電力を印加し、サセプタ12へ、例えば、7800Wのイオン引き込み用の高周波電力を印加する(対象膜エッチングステップ)。
このとき、図7(A)に示すように、混合ガスからプラズマが生じ、上部電極板27から電子53が放出されるが、高出力のイオン引き込み用の高周波電力に起因してウエハWにセルフバイアス電圧が生じ、該セルフバイアス電圧に起因してウエハWの表面上にシース52が発生する。このシース52は極めて厚く、電子53のウエハWへの進行を殆ど阻止する一方、プラズマ中の陽イオン54を大きく加速する。したがって、各陽イオン54はホール44の底部を強くスパッタし、特に、ホール44内部においてBARC膜43、SiON膜42、カーボン膜41をエッチングし、やがて露出したSiO膜40をエッチングする。
上述したSiO膜40のエッチングの際、上述したCガス、Cガス、CFガス、Arガス及びOガスの混合ガスではなく、例えば、Cガス、Arガス及びOガス、Cガス、Arガス及びOガスの混合ガスやCガス、Cガス、Arガス及びOガスの混合ガスを用いてもよく、また、必要に応じてCFガス、CガスやCOSガスを添加してもよい。
また、チャンバ11内部の圧力、印加される直流電力の出力値、プラズマ生成用の高周波電力及びイオン引き込み用の高周波電力の出力値、混合ガスの流量も必要に応じて変更してもよい。例えば、チャンバ11内部の圧力を、例えば、20mTorr(2.67Pa)に設定し、流量が、例えば、50sccmのCガスと、流量が、例えば、20sccmのCガスと、流量が、例えば、200sccmのArガスと、流量が、例えば、55sccmのOガスとの混合ガスを処理室15内部へ導入し、上部電極板27へ、例えば、−300Vの直流電力を印加し、処理室15内部へ、例えば、1000Wのプラズマ生成用の高周波電力を印加し、サセプタ12へ、例えば、7800Wのイオン引き込み用の高周波電力を印加してもよい。
ここで、各陽イオン54はフォトレジスト膜45も強くスパッタするが、フォトレジスト膜45は硬化されているので直ぐには消耗せず、また、フォトレジスト膜45が消耗しても、フォトレジスト膜45の下に形成されたBARC膜43、SiON膜42及びカーボン膜41も硬化されているので、これらの膜も直ぐには消耗しない。これにより、SiO膜40に対するフォトレジスト膜45等の選択比が維持されてフォトレジスト膜45等は所定の期間に亘り、マスク膜としての機能を維持することができる。その結果、SiO膜40においてホール44に対応した場所にホール51が形成される。
ここで、SiO膜40がエッチングされてホール51の深さが大きくなると、シース52によって加速されてホール51に進入した陽イオン54がホール51の底部に滞留する。本実施の形態に係るエッチング処理方法では、滞留する陽イオン54を電気的に中和するために、電子53をホール51の底部へ積極的に導入する。具体的には、イオン引き込み用の高周波電力及びプラズマ生成用の高周波電力をパルス波状に印加する(対象膜エッチングステップ)。より具体的には、イオン引き込み用の高周波電力とプラズマ生成用の高周波電力が共に印加される第1の期間と、イオン引き込み用の高周波電力とプラズマ生成用の高周波電力が共に印加されない第2の期間とが所定の周期で交互に繰り返されるように制御する。換言すれば、第1高周波電源18からのプラズマ生成用の高周波電力を変調させてサセプタ12に印加するとともに、第2高周波電源20からのイオン引き込み用の高周波電力を、プラズマ生成用の高周波電力の変調と同じタイミングで変調させてサセプタ12に印加する。印加の変調の典型的な例としては、図13(A)に示すようなパルス状の変調が該当する。なお、図13(A)では、代表的にイオン引き込み用の高周波電力の印加の変調状態を示している。図13(A)では、イオン引き込み用の高周波電力が印加される期間が期間Aであり、イオン引き込み用の高周波電力が印加されない期間が期間Bである。この典型例では、イオン引き込み用の高周波電力のON、OFFを繰り返す。この場合におけるイオン引き込み用の高周波電力の波形は、図13(B)に示すようになる。
図8は、プラズマ生成用の高周波電力、イオン引き込み用の高周波電力及びウエハの表面近傍を流れる電流の関係を示す図である。図8において、横軸は時間を示し、縦軸は電力値又は電流値を示す。
図8では、プラズマ生成用の高周波電力55と、イオン引き込み用の高周波電力56とは同期してパルス波状に印加される際、イオン引き込み用の高周波電力56及びプラズマ生成用の高周波電力55の出力値が0となり、イオン引き込み用の高周波電力56及びプラズマ生成用の高周波電力55が印加されない状態が積極的に作り出されている。
イオン引き込み用の高周波電力56及びプラズマ生成用の高周波電力55が印加されなくなると、図7(B)に示すように、シース52が消滅する。このとき、上部電極板27への負の電位の直流電力の印加が継続されているため、上部電極板27への陽イオンの入射により生成された電子53が上部電極板27に印加されている負の直流電圧で加速され、シース52で妨げられることなくホール51へ高速進入する状態が発生する。これにより、ホール51の底部に滞留する陽イオン54は電気的に中和される。
ここで、ホール51の底部へ導入される電子の流れはウエハの表面近傍を流れる電流として観測されるが、図8に示すように、ウエハWの表面近傍を流れる電流57は、イオン引き込み用の高周波電力56及びプラズマ生成用の高周波電力55の出力値が0となった後、僅かな時間、具体的には5μ秒が経過してからほんの一瞬の間にスパイク状に流れ、その後、急速に電流57の電流値が下がる。
イオン引き込み用の高周波電力56等の出力値が0となった後、5μ秒が経過してから電流57が流れるのは、イオン引き込み用の高周波電力56等の出力値が0となった後、電子温度が十分に低下してシース52が消滅するまでに5μ秒ほど要するためと考えられる。一方、電流57はほんの一瞬の間に流れ、その後、急速に電流57の電流値が下がるのは、上部電極板27から放出される電子53の生成に必要な陽イオン密度の急激な低下によるものと考えられる。したがって、一定量の電子53をホール51の底部へ導入して滞留する陽イオン54を電気的に中和するためには、イオン引き込み用の高周波電力56等の出力値が0となる状態、すなわち、イオン引き込み用の高周波電力56等が印加されない状態が少なくとも5μ秒継続すればよい。
それ故、パルス波状に印加されるプラズマ生成用の高周波電力55及びイオン引き込み用の高周波電力では、イオン引き込み用の高周波電力56等の出力値が0となる状態を長くする必要はない。換言すれば、プラズマ生成用の高周波電力55及びイオン引き込み用の高周波電力56のデューティー比を高く設定してもよい。具体的には、デューティー比を10%〜90%のいずれかに設定すればよく、好ましくは、50%〜90%のいずれかに設定すればよい。この場合、デューティー比は最高でも90%なので、イオン引き込み用の高周波電力56等が印加されない状態を確実に作り出すことができ、もって、ホール51の底部へ電子53を確実に導入することができる。また、イオン引き込み用の高周波電力56等が印加されない状態ではシース52が消滅するため、陽イオン54によるスパッタが低下し、SiO膜40のエッチング効率が低下するが、この場合、デューティー比は最低でも50%なので、シース52が消滅する状態が発生するのを適度に抑制し、SiO膜40のエッチング効率が低下するのを防止できる。なお、本実施の形態に係るエッチング処理方法では、デューティー比が70%に設定される。
また、プラズマ生成用の高周波電力55及びイオン引き込み用の高周波電力56のパルス波の周波数(パルス周波数)が高いほど電子53がホール51の底部へ導入される頻度を高めることができるので、該周波数は高い方が好ましい。一方、当該周波数が高すぎると、イオン引き込み用の高周波電力56等が印加されない状態をシース52が消滅するために必要な時間以上維持できなくなる。したがって、プラズマ生成用の高周波電力55及びイオン引き込み用の高周波電力56のパルス波の周波数は、1KHz〜50KHzのいずれかであることがよく、好ましくは、10KHz〜50KHzのいずれかであることがよい。なお、本実施の形態に係るエッチング処理方法では、当該パルス波の周波数が10KHzに設定される。
本実施の形態に係るエッチング処理方法では、イオン引き込み用の高周波電力56等が印加されない状態においても、上部電極板27への負の電位の直流電力の印加が継続されているため、上部電極板27の電位も負となる。一方、イオン引き込み用の高周波電力56がサセプタ12に印加されないと、ウエハWにバイアス電圧が殆ど生じないため、ウエハW近傍の電位はほぼ0となる。したがって、ウエハWと上部電極板27の電位差の絶対値を所定値以上確保することができ、該電位差は電子53をウエハWへ向けて導くため、電子53のホール51の底部への導入を促進することができる。また、上部電極板27への負の電位の直流電力の印加を継続することにより、上部電極板27からの電子53の放出を継続することができ、もって、処理室15内部の電子密度を高めることができ、これにより、電子53がホール51の底部へ導入される確率を向上することができる。
なお、本実施の形態に係るエッチング処理方法では、電子53をホール51の底部へ導入する際、イオン引き込み用の高周波電力56等の出力値を0としたが、ウエハWと上部電極板27の電位差の絶対値を所定値以上確保することができるのであれば、電子53をウエハWへ向けて導くことができるので、イオン引き込み用の高周波電力56等の出力値を必ずしも0とする必要はない。例えば、−300Vの直流電力が上部電極板27へ印加されている場合、ウエハWに生じるバイアス電圧が−300Vよりも高くなるようにイオン引き込み用の高周波電力56の値を設定してもよい。
その後、プラズマ生成用の高周波電力55及びイオン引き込み用の高周波電力56のパルス波状の印加を継続し、図4(D)に示すように、カーボン膜41が消耗して無くなり、SiO膜40において、例えば、アスペクト比が30以上のホール51が形成され、該ホール51の底部にシリコン部39が露出すると、本実施の形態に係るエッチング処理方法を終了する。
本実施の形態に係るエッチング処理方法によれば、フォトレジスト膜45に形成されたホール44の形状が改良されるので、SiO膜40に形成されるホール51の形状へフォトレジスト膜45に形成されたホール44の形状不良(歪み等)が反映されるのを防止できる。
また、電子49によってフォトレジスト膜45等が硬化されるので、SiO膜40がプラズマでエッチングされる際、フォトレジスト膜45が早期に消耗するのを防止することができ、もって、SiO膜40においてホール51を確実に形成することができる。
さらに、SiO膜40がプラズマでエッチングされる際、負の電位の直流電力が上部電極板27に印加されるとともに、イオン引き込み用の高周波電力56がサセプタ12へパルス波状に印加されてイオン引き込み用の高周波電力56がサセプタ12に印加されない状態が作り出されるので、電子53を多量に発生させることができるとともにウエハWの表面上のシース52が消滅する状態を作り出すことができ、もって、電子53をSiO膜40に形成されるホール51の底部へ確実に導入することができる。
その結果、形成されるホール51のアスペクト比が高くてもホール51の側部の膨らみやホール51の歪みの発生を防止することができる。
また、本実施の形態に係るエッチング処理方法では、SiO膜40がプラズマでエッチングされる際、プラズマ生成用の高周波電力55もパルス波状に印加されてプラズマ生成用の高周波電力55が処理室15内部に印加されない状態が作り出されるので、もって、シース52が消滅する状態を確実に作り出すことができる。
さらに、本実施の形態に係るエッチング処理方法では、プラズマ生成用の高周波電力55とイオン引き込み用の高周波電力56とが同期されてパルス波状に印加されるので、プラズマ生成用の高周波電力55及びイオン引き込み用の高周波電力56がともに印加されない状態を作り出すことができ、もって、シース52が消滅する状態をさらに確実に作り出すことができる。
ところで、プラズマ生成用の高周波電力55とイオン引き込み用の高周波電力56とが連続的に印加される(以下、「連続印加の場合」という。)と、図14(A)に示すように、ホール51の間口63におけるカーボン膜41にデポが付着して突出部41aが形成されて間口63が狭くなることがある。
一方、本実施の形態のようにプラズマ生成用の高周波電力55とイオン引き込み用の高周波電力56とが同期されてパルス波状に印加される(以下、「パルス波状印加の場合」という。)と、図14(B)に示すように、突出部41aが形成されず、間口63が狭くなることがない。
本発明者等は上述した現象を解明するために、各種検証を行ったところ、プラズマ生成用の高周波電力55とイオン引き込み用の高周波電力56とを同期して印加するか否かにより、プラズマ生成時に処理室15内に発生する電子密度や電子温度が変化することを確認した。具体的には、図15に示すように、連続印加の場合、電子密度は変化することなく高い値を維持するのに対して、パルス波状印加の場合、電子密度はイオン引き込み用の高周波電力56等が印加されないときに低下する。また、デューティー比が小さくなるに従って電子密度が低下する時間が長くなることを確認した。さらに、図16に示すように、連続印加の場合、電子温度(より具体的には、混合ガス中のArガスが励起した際の発光強度)は変化することが無くほぼ一定値を維持するのに対して、パルス波状印加の場合、電子温度は一瞬立ち上がるものの、連続印加の場合よりも低くなる時間が長く、その時間はデューティー比が小さくなるに従って長くなることを確認した。すなわち、時間に関して平均的に考えると、パルス波状印加の場合の電子密度や電子温度は、連続印加の場合の電子密度や電子温度に比べて低くなることを確認した。
電子密度や電子温度が低下すると、混合ガスのラジカルへの解離が進まず、解離度が低下する。解離度が低下するとラジカルの付着係数が高くなる。ここで、ラジカルの付着係数とはラジカルが或る層に衝突した際の当該層への付着のし易さを示す指標であり、付着係数が高くなるとラジカルは或る層へ容易に付着する。なお、解離度が低下するとラジカルの付着係数が高くなるのは、解離度が低下することはラジカルのエネルギーが低いことを示し、ラジカルのエネルギーが低いとラジカルは或る層と数回衝突しただけでエネルギーを失い、その場に留まりやすくなるためと考えられた。
すなわち、連続印加の場合、電子密度や電子温度が高いために、解離度が上昇する一方、付着係数が低下する。その結果、図17(A)に示すように、混合ガスから生じたラジカル、特に、CF系のラジカル64はカーボン膜41の表面に衝突を繰り返してもエネルギーを徐々にしか失わないためにカーボン膜41の表面に付着することが無く、該ラジカル64は間口63まで到達して初めてカーボン膜41から跳ね返るだけのエネルギーを失い、そのまま、間口63近傍のカーボン膜41へデポとして付着する。これにより、間口63が狭くなる。
一方、パルス波状印加の場合、電子密度や電子温度が低いために、解離度が低下する一方、付着係数が高くなる。その結果、図17(B)に示すように、混合ガスから生じたCF系のラジカル64はカーボン膜41の表面に衝突すると容易にエネルギーを失い、そのまま、カーボン膜41の表面に付着するため、ラジカル64が間口63へ到達することがなく、間口63が狭くなることがない。
すなわち、本実施の形態に係るエッチング処理方法では、プラズマ生成用の高周波電力55とイオン引き込み用の高周波電力56とが同期されてパルス波状に印加されるので、混合ガスから生じたラジカル64の付着係数が高くなり、ラジカル64は間口63まで到達することなくカーボン膜41の表面に付着する。その結果、間口63が狭くなることがなく、陽イオン54が円滑にホール51へ侵入することができ、さらに、陽イオン54が突出部41aと衝突して進路を変更されることない。これにより、ホール51の側部の膨らみやホール51の歪みの発生を確実に防止することができる。
ラジカル64の付着係数が高いほど間口63が狭くなる可能性が低くなるため、ラジカル64の付着係数は高いのが好ましいが、一般に、高次のCF系ガス、例えば、CガスやCガスの方が低次のCF系ガス、例えば、CFガスやCFガスよりも生じるCF系ラジカルの付着係数が高くなるので、混合ガスにおけるCF系ガスとしては、CガスやCガスを用いるのが好ましい。なお、CガスやCガスの付着係数は0.1〜0.01程度であり、CFガスやCFガスの付着係数は0.01〜0.0001程度である。
また、パルス波状印加の場合、デューティー比が低いほど電子密度や電子温度が低くなり、CF系ラジカルの付着係数が高くなるので、デューティー比は低い方が好ましく、例えば、70%以下、より好ましくは、50%以下であるのがよい。これにより、間口63が狭くなる可能性をより低くすることができる。
上述した本実施の形態に係るエッチング処理方法では、プラズマのエッチングによってホール51を形成する際、Cガスと、Cガスと、CFガスと、Arガス及びOガスの混合ガスを処理室15内部へ導入し、該混合ガスからプラズマを発生させたが、希ガスとしてArガスの代わりにHe(ヘリウム)ガスを混合してもよい。
Arガスの陽イオンがシリコンからなる上部電極板27に打ち込まれると、上部電極板27は二次電子を放出するが、Heガスの陽イオンがシリコンからなる上部電極板27に打ち込まれると、上部電極板27はより多くの二次電子を放出する。具体的には、シリコンのHe陽イオンの打ち込みに対する二次電子放出係数は0.172であり、シリコンのAr陽イオンの打ち込みに対する二次電子放出係数は0.024である。したがって、Arガスの代わりにHeガスを混合することによって上部電極板27から放出される二次電子の量を増やすことができる。その結果、ホール51を形成する際、イオン引き込み用の高周波電力とプラズマ生成用の高周波電力が共に印加されない第2の期間において、該ホール51へ侵入する電子53の数を増やすことができ、ホール51の底部に滞留する陽イオン54の電気的中和を確実に行うことができる。
Heガスが励起されると、その電子温度はArガスが励起された際の電子温度よりも高くなることが本発明者等によって確認されている。したがって、混合ガスにHeガスを混合すると解離度が非常に高くなり、ラジカルの付着係数が大幅に低下する。
ラジカルの付着係数が大幅に低下すると、図18に示すように、ラジカル65はカーボン膜41の表面に衝突を繰り返してもエネルギーを徐々にしか失わないためにカーボン膜41の表面に付着することが無く、ラジカル65は間口63に到達しても未だエネルギーを失わないため、間口63近傍のカーボン膜41へデポとして付着することがなく、ホール51内を底部に向けて進入する。その後、ホール51の側壁と数回ほど衝突を繰り返してエネルギーを失い、そのまま、ホール51の側壁にデポとして付着してデポ薄膜41bを形成する。すなわち、間口63が狭くなることがないため、陽イオン54が突出部41aと衝突して進路を変更されることがない。
また、He陽イオンはAr陽イオンよりも質量が大幅に小さいため、例え、ホール51の側壁に衝突しても該側壁をエッチングすることがない。
その結果、ホール51の側部の膨らみやホール51の歪みの発生を防止することができる。
以下、本発明の第2の実施の形態に係るエッチング処理方法について詳細に説明する。
本実施の形態は、その構成、作用が上述した第1の実施の形態と基本的に同じであるので、重複した構成、作用については説明を省略し、以下に異なる構成、作用についての説明を行う。
図9は、本実施の形態に係るエッチング処理方法によって処理されるウエハの一部の構造を概略的に示す断面図である。
図9において、ウエハWaは、基部となるシリコン部39と、該シリコン部39上に形成された、例えば、厚さが2600nmのSiO膜40(エッチング対象膜)と、該SiO膜40上に形成されたポリシリコン膜58と、該ポリシリコン膜58上に形成された、SiOからなる残渣膜59とを備える。ポリシリコン膜58及び残渣膜59はSiO膜40を露出させるホール60を有する。残渣膜59は、ポリシリコン膜58にホール60を形成する際に用いられたハードマスク膜としてのSiO膜の残渣からなる。また、ポリシリコン膜58及び残渣膜59は全て無機系の膜(無機膜)である。
図10は、本実施の形態に係るエッチング処理方法を示す工程図である。
図10において、まず、ウエハWaをチャンバ11内部のサセプタ12に載置して静電チャック23に吸着保持させる(図10(A))。
次いで、チャンバ11内部を排気管17によって減圧し、該内部の圧力をAPCバルブにより、例えば、40mTorr(5.33Pa)に設定し、流量が、例えば、150sccmのHBrガスと、流量が、例えば、5sccmのOガスと、流量が、例えば、7sccmのNFガスとの混合ガスをシャワーヘッド26から処理室15内部へ導入し、上部電極板27へ直流電力を印加することなく、処理室15内部へ、例えば、900Wのプラズマ生成用の高周波電力を印加し、且つサセプタ12へ、例えば、150Wのイオン引き込み用の高周波電力を印加する(パターン形状改良ステップ)。
このとき、図11(A)に示すように、混合ガスが励起されてプラズマが生じるとともに、ウエハWaの表面上にシース61が発生する。ここでも、イオン引き込み用の高周波電力の出力値が比較的低いので、生成されるシース61は薄く、プラズマ中の陽イオン62をさほど加速しない。したがって、各陽イオン62はポリシリコン膜58や残渣膜59を弱くスパッタする。このとき、ホール60の歪みの大部分を構成するホール60の裾部60aや突出形状60bが優先的にスパッタされて除去される。また、プラズマ中のラジカルも裾部60aや突出形状60bと優先的に化学反応してこれらを除去する。その結果、図11(B)に示すような歪んだホール60の形状が改良され、図11(C)に示すような真円形状に近付く。
上述したホール60の形状改良の際、混合ガスに、上述したHBrガスやNFガスではなく、例えば、CFガス、Cl等のハロゲン系のガスのいずれかを混合してもよく、また、必要に応じて希ガス、例えば、ArガスやOガスをさらに添加してもよい。
また、チャンバ11内部の圧力、印加されるプラズマ生成用の高周波電力及びイオン引き込み用の高周波電力の出力値、混合ガスの流量も必要に応じて変更してもよい。例えば、チャンバ11内部の圧力を10mTorr(1.33Pa)に設定し、上述した混合ガスの代わりに、流量が、例えば、50sccmのCFガスと、流量が、例えば、400sccmのArガスと、流量が、例えば、20sccmのOガスとの混合ガスを処理室15内部へ導入し、上部電極板27へ直流電力を印加することなく、処理室15内部へ、例えば、250Wのプラズマ生成用の高周波電力を印加し、且つサセプタ12へ、例えば、500Wのイオン引き込み用の高周波電力を印加してもよい。
さらに、必要に応じて上部電極板27へ直流電力を印加してもよい。この場合、処理室15内部のプラズマにおける電子密度分布が改善され、ホール60の形状改良をウエハWaの全表面に亘ってほぼ均一に行うことができる。
次いで、ホール60の形状を改良した後、図10(B)に示すように、SiO膜40においてプラズマのエッチングによってホール51を形成する。このときの処理条件、例えば、チャンバ11内部の圧力、混合ガスの種類、混合ガスを構成する各種ガスの混合比、上部電極板27へ印加される直流電力の出力値、プラズマ生成用の高周波電力の出力値、及びイオン引き込み用の高周波電力の出力値は第1の実施の形態と同じであり、特に、イオン引き込み用の高周波電力及びプラズマ生成用の高周波電力をパルス波状に印加することも、その周波数及びデューティー比を含めて第1の実施の形態と同じである。これにより、ホール51の底部に滞留する陽イオン54を電気的に中和しながらホール51を形成することができる。
このとき、ポリシリコン膜58や残渣膜59はフォトレジスト膜45等よりもプラズマによって消耗しにくいため、ポリシリコン膜58や残渣膜59を硬化しなくても、ホール51の形成の際、マスク膜として充分に記載させることができる。
その後、図10(D)に示すように、ポリシリコン膜58や残渣膜59が消耗して無くなり、SiO膜40においてホール51が形成され、該ホール51の底部にシリコン部39が露出すると、本実施の形態に係るエッチング処理方法を終了する。
本実施の形態に係るエッチング処理方法によれば、ポリシリコン膜58や残渣膜59に形成されたホール60の形状が改良されるので、SiO膜40に形成されるホール51の形状へポリシリコン膜58や残渣膜59に形成されたホール60の形状不良(歪み等)が反映されるのを防止できる。
また、SiO膜40がプラズマでエッチングされる際、負の電位の直流電力が上部電極板27に印加されるとともに、イオン引き込み用の高周波電力56がサセプタ12へパルス波状に印加されてイオン引き込み用の高周波電力56がサセプタ12に印加されない状態が作り出されるので、電子53をSiO膜40に形成されるホール51の底部へ確実に導入することができる。
その結果、形成されるホール51のアスペクト比が高くてもホール51の側部の膨らみやホール51の歪みの発生を防止することができる。
また、本実施の形態に係るエッチング処理方法では、プラズマのエッチングによってホール51を形成する際、ポリシリコン膜58や残渣膜59がマスク膜として用いられるが、これらの膜はプラズマでエッチングされる際の消耗量が小さい。したがって、ポリシリコン膜58や残渣膜59を硬化させる必要がなく、もって、エッチング処理方法の効率を向上することができる。
上述した各実施の形態に係るエッチング処理方法では、プラズマ生成用の高周波電力とイオン引き込み用の高周波電力とが同期されてパルス波状に印加されたが、ウエハW(Wa)の表面上のシースが消滅する状態を作り出すことができるならば、これらの高周波電力は必ずしも同期されて印加される必要はない。
また、上述した各実施の形態に係るエッチング処理方法では、SiO膜40がプラズマでエッチングされる際、イオン引き込み用の高周波電力だけでなくプラズマ生成用の高周波電力もパルス波状に印加されたが、ウエハW(Wa)の表面上のシースが消滅する状態を作り出すことができるならば、プラズマ生成用の高周波電力は必ずしもパルス波状に印加される必要はない。
さらに、上述した各実施の形態に係るエッチング処理方法は、SiO膜40、すなわち、プラズマのエッチングによって酸化膜にホールが形成される場合に適用されたが、プラズマのエッチングによって窒化膜、例えば、SiN膜にホールが形成される場合に適用されてもよい。
上述した各実施の形態に係るエッチング処理方法は、サセプタ12にプラズマ生成用の高周波電力及びイオン引き込み用の高周波電力が印加される基板処理装置10に適用されたが、各実施の形態に係るエッチング処理方法は、上部電極板にプラズマ生成用の高周波電力が印加され、且つサセプタにイオン引き込み用の高周波電力が印加される基板処理装置に適用されてもよい。
上述した各実施の形態に係るエッチング処理方法を実行する基板処理装置がプラズマエッチング処理を施す基板は、半導体デバイス用のウエハに限られず、LCD(Liquid Crystal Display)等を含むFPD(Flat Panel Display)等に用いる各種基板や、フォトマスク、CD基板、プリント基板等であってもよい。
以上、本発明について、上記各実施の形態を用いて説明したが、本発明は上記各実施の形態に限定されるものではない。
本発明の目的は、上述した各実施の形態の機能を実現するソフトウェアのプログラムを記録した記憶媒体を、コンピュータ等に供給し、コンピュータのCPUが記憶媒体に格納されたプログラムを読み出して実行することによっても達成される。
この場合、記憶媒体から読み出されたプログラム自体が上述した各実施の形態の機能を実現することになり、プログラム及びそのプログラムを記憶した記憶媒体は本発明を構成することになる。
また、プログラムを供給するための記憶媒体としては、例えば、RAM、NV−RAM、フロッピー(登録商標)ディスク、ハードディスク、光磁気ディスク、CD−ROM、CD−R、CD−RW、DVD(DVD−ROM、DVD−RAM、DVD−RW、DVD+RW)等の光ディスク、磁気テープ、不揮発性のメモリカード、他のROM等の上記プログラムを記憶できるものであればよい。或いは、上記プログラムは、インターネット、商用ネットワーク、若しくはローカルエリアネットワーク等に接続される不図示の他のコンピュータやデータベース等からダウンロードすることによりコンピュータに供給されてもよい。
また、コンピュータのCPUが読み出したプログラムを実行することにより、上記各実施の形態の機能が実現されるだけでなく、そのプログラムの指示に基づき、CPU上で稼動しているOS(オペレーティングシステム)等が実際の処理の一部又は全部を行い、その処理によって上述した各実施の形態の機能が実現される場合も含まれる。
更に、記憶媒体から読み出されたプログラムが、コンピュータに挿入された機能拡張ボードやコンピュータに接続された機能拡張ユニットに備わるメモリに書き込まれた後、そのプログラムの指示に基づき、その機能拡張ボードや機能拡張ユニットに備わるCPU等が実際の処理の一部又は全部を行い、その処理によって上述した各実施の形態の機能が実現される場合も含まれる。
上記プログラムの形態は、オブジェクトコード、インタプリタにより実行されるプログラム、OSに供給されるスクリプトデータ等の形態から成ってもよい。
W,Wa ウエハ
10 基板処理装置
12 サセプタ
15 処理室
18 第1の高周波電源
20 第2の高周波電源
40 SiO
41 カーボン膜
42 SiON膜
43 BARC膜
44,51,60 ホール
45 フォトレジスト膜
55 プラズマ生成用の高周波電力
56 イオン引き込み用の高周波電力
58 ポリシリコン膜
59 残渣膜

Claims (14)

  1. 内部にプラズマが生じる処理室、該処理室内部に配置された載置台及び該載置台に対向して前記処理室内部に配置された電極を備え、前記処理室内部に比較的周波数の高い第1の高周波電力が印加され、前記載置台に前記第1の高周波電力よりも周波数が低い第2の高周波電力が印加され、前記電極に直流電力が印加される基板処理装置において、
    エッチング対象膜と、該エッチング対象膜上に形成されたマスク膜とを有し、且つ前記載置台に載置された基板にエッチング処理を施すエッチング処理方法であって、
    前記マスク膜を用いて前記エッチング対象膜をプラズマでエッチングして前記エッチング対象膜にパターンを形成する対象膜エッチングステップを有し、
    前記対象膜エッチングステップでは、前記直流電力を前記電極に印加するとともに、少なくとも前記第2の高周波電力を前記載置台にパルス波状に印加し、前記直流電力が前記電極に印加されている間に前記第2の高周波電力が前記載置台に印加されない状態を作り出すことにより、前記基板の表面上に発生するシースを消滅させて前記直流電力が印加される前記電極から生じる電子を前記パターンへ進入させることを特徴とするエッチング処理方法。
  2. 前記対象膜エッチングステップでは、前記第1の高周波電力もパルス波状に印加して前記第1の高周波電力が前記処理室内部に印加されない状態を作り出すことを特徴とする請求項1記載のエッチング処理方法。
  3. 前記対象膜エッチングステップでは、前記第1の高周波電力と前記第2の高周波電力とを同期させてパルス波状に印加することを特徴とする請求項2記載のエッチング処理方法。
  4. 前記対象膜エッチングステップでは、前記基板に生じるバイアス電圧の電位よりも低い電位で前記直流電力を前記電極に印加することを特徴とする請求項1乃至3のいずれか1項に記載のエッチング処理方法。
  5. 前記対象膜エッチングステップでは、前記第2の高周波電力を前記載置台に、周波数が1KHz〜50KHzのいずれかのパルス波状に印加することを特徴とする請求項1乃至4のいずれか1項に記載のエッチング処理方法。
  6. 前記周波数が10KHz〜50KHzのいずれかであることを特徴とする請求項5記載のエッチング処理方法。
  7. 前記対象膜エッチングステップでは、パルス波状に印加される前記第2の高周波電力のデューティー比が10%〜90%のいずれかであることを特徴とする請求項1乃至6のいずれか1項に記載のエッチング処理方法。
  8. 前記デューティー比が50%〜90%のいずれかであることを特徴とする請求項7記載のエッチング処理方法。
  9. 前記対象膜エッチングステップでは、前記第2の高周波電力が前記載置台に印加されない状態が少なくとも5マイクロ秒継続することを特徴とする請求項1乃至8のいずれか1項に記載のエッチング処理方法。
  10. 前記対象膜エッチングステップにおいて前記エッチング対象膜に形成されるパターンのアスペクト比は30以上であることを特徴とする請求項1乃至9のいずれか1項に記載のエッチング処理方法。
  11. 前記マスク膜は有機膜であることを特徴とする請求項1乃至10のいずれか1項に記載のエッチング処理方法。
  12. 前記マスク膜は無機膜であることを特徴とする請求項1乃至10のいずれか1項に記載のエッチング処理方法。
  13. 前記無機膜は少なくともポリシリコン膜を含むことを特徴とする請求項12記載のエッチング処理方法。
  14. 前記対象膜エッチングステップでは、少なくともヘリウムガスを含む混合ガスからプラズマを生成することを特徴とする請求項1乃至13のいずれか1項に記載のエッチング処理方法。
JP2014244830A 2010-02-24 2014-12-03 エッチング処理方法 Active JP5802323B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2014244830A JP5802323B2 (ja) 2010-02-24 2014-12-03 エッチング処理方法

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2010039001 2010-02-24
JP2010039001 2010-02-24
JP2014244830A JP5802323B2 (ja) 2010-02-24 2014-12-03 エッチング処理方法

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2010179415A Division JP5662079B2 (ja) 2010-02-24 2010-08-10 エッチング処理方法

Publications (2)

Publication Number Publication Date
JP2015043470A JP2015043470A (ja) 2015-03-05
JP5802323B2 true JP5802323B2 (ja) 2015-10-28

Family

ID=44877024

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2010179415A Active JP5662079B2 (ja) 2010-02-24 2010-08-10 エッチング処理方法
JP2014244830A Active JP5802323B2 (ja) 2010-02-24 2014-12-03 エッチング処理方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2010179415A Active JP5662079B2 (ja) 2010-02-24 2010-08-10 エッチング処理方法

Country Status (3)

Country Link
JP (2) JP5662079B2 (ja)
KR (2) KR101760949B1 (ja)
TW (2) TWI518775B (ja)

Cited By (81)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2018005122A1 (en) * 2016-06-29 2018-01-04 Applied Materials, Inc. Selective etch using material modification and rf pulsing
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US12009228B2 (en) 2023-02-27 2024-06-11 Applied Materials, Inc. Low temperature chuck for plasma processing systems

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20120022251A (ko) * 2010-09-01 2012-03-12 삼성전자주식회사 플라즈마 식각방법 및 그의 장치
JP2014082228A (ja) * 2012-10-12 2014-05-08 Tokyo Electron Ltd プラズマエッチング方法
JP6029522B2 (ja) 2013-04-16 2016-11-24 東京エレクトロン株式会社 パターンを形成する方法
JP6320248B2 (ja) * 2014-03-04 2018-05-09 東京エレクトロン株式会社 プラズマエッチング方法
JP6151215B2 (ja) * 2014-05-15 2017-06-21 東京エレクトロン株式会社 プラズマエッチング方法
JP6400425B2 (ja) 2014-10-15 2018-10-03 東京エレクトロン株式会社 多層膜をエッチングする方法
JP6374781B2 (ja) * 2014-12-10 2018-08-15 東京エレクトロン株式会社 プラズマ処理方法
JP6462477B2 (ja) * 2015-04-27 2019-01-30 東京エレクトロン株式会社 被処理体を処理する方法
JP7138474B2 (ja) 2018-05-15 2022-09-16 東京エレクトロン株式会社 部品の修復方法及び基板処理システム
JP6846387B2 (ja) * 2018-06-22 2021-03-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP2020009840A (ja) * 2018-07-04 2020-01-16 東京エレクトロン株式会社 エッチング方法及び基板処理装置
US10593518B1 (en) * 2019-02-08 2020-03-17 Applied Materials, Inc. Methods and apparatus for etching semiconductor structures
CN113035677B (zh) * 2019-12-09 2023-01-24 中微半导体设备(上海)股份有限公司 等离子体处理设备以及等离子体处理方法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2000026956A1 (en) * 1998-11-04 2000-05-11 Surface Technology Systems Limited A method and apparatus for etching a substrate
DE10145297A1 (de) * 2001-09-14 2003-04-10 Bosch Gmbh Robert Verfahren zum Einätzen von Strukturen in einen Ätzkörper mit einem Plasma
JP2004353066A (ja) * 2003-05-30 2004-12-16 Toshio Goto プラズマ源およびプラズマ処理装置
JP4538209B2 (ja) * 2003-08-28 2010-09-08 株式会社日立ハイテクノロジーズ 半導体装置の製造方法
US7491647B2 (en) * 2005-03-08 2009-02-17 Lam Research Corporation Etch with striation control
JP5174319B2 (ja) * 2005-11-11 2013-04-03 株式会社日立ハイテクノロジーズ エッチング処理装置およびエッチング処理方法
KR101346897B1 (ko) * 2006-08-07 2014-01-02 도쿄엘렉트론가부시키가이샤 에칭 방법 및 플라즈마 처리 시스템
MY148830A (en) * 2006-08-22 2013-06-14 Lam Res Corp Method for plasma etching performance enhancement
JP2008078515A (ja) * 2006-09-25 2008-04-03 Tokyo Electron Ltd プラズマ処理方法
JP5192209B2 (ja) * 2006-10-06 2013-05-08 東京エレクトロン株式会社 プラズマエッチング装置、プラズマエッチング方法およびコンピュータ読取可能な記憶媒体
US7718538B2 (en) * 2007-02-21 2010-05-18 Applied Materials, Inc. Pulsed-plasma system with pulsed sample bias for etching semiconductor substrates
JP5213496B2 (ja) * 2008-03-31 2013-06-19 東京エレクトロン株式会社 プラズマエッチング方法及びコンピュータ読み取り可能な記憶媒体
JP5319150B2 (ja) * 2008-03-31 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
JP5578782B2 (ja) * 2008-03-31 2014-08-27 東京エレクトロン株式会社 プラズマ処理方法及びコンピュータ読み取り可能な記憶媒体

Cited By (110)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10062578B2 (en) 2011-03-14 2018-08-28 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US10062587B2 (en) 2012-07-18 2018-08-28 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US10032606B2 (en) 2012-08-02 2018-07-24 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US11264213B2 (en) 2012-09-21 2022-03-01 Applied Materials, Inc. Chemical control features in wafer process equipment
US9978564B2 (en) 2012-09-21 2018-05-22 Applied Materials, Inc. Chemical control features in wafer process equipment
US10354843B2 (en) 2012-09-21 2019-07-16 Applied Materials, Inc. Chemical control features in wafer process equipment
US11024486B2 (en) 2013-02-08 2021-06-01 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US10465294B2 (en) 2014-05-28 2019-11-05 Applied Materials, Inc. Oxide and metal removal
US10490418B2 (en) 2014-10-14 2019-11-26 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10796922B2 (en) 2014-10-14 2020-10-06 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10593523B2 (en) 2014-10-14 2020-03-17 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10707061B2 (en) 2014-10-14 2020-07-07 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US11239061B2 (en) 2014-11-26 2022-02-01 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US11594428B2 (en) 2015-02-03 2023-02-28 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10468285B2 (en) 2015-02-03 2019-11-05 Applied Materials, Inc. High temperature chuck for plasma processing systems
US11158527B2 (en) 2015-08-06 2021-10-26 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10468276B2 (en) 2015-08-06 2019-11-05 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10147620B2 (en) 2015-08-06 2018-12-04 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10607867B2 (en) 2015-08-06 2020-03-31 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10424464B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10424463B2 (en) 2015-08-07 2019-09-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US11476093B2 (en) 2015-08-27 2022-10-18 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11735441B2 (en) 2016-05-19 2023-08-22 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
WO2018005122A1 (en) * 2016-06-29 2018-01-04 Applied Materials, Inc. Selective etch using material modification and rf pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10541113B2 (en) 2016-10-04 2020-01-21 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10224180B2 (en) 2016-10-04 2019-03-05 Applied Materials, Inc. Chamber with flow-through source
US11049698B2 (en) 2016-10-04 2021-06-29 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10319603B2 (en) 2016-10-07 2019-06-11 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10186428B2 (en) 2016-11-11 2019-01-22 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10770346B2 (en) 2016-11-11 2020-09-08 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10600639B2 (en) 2016-11-14 2020-03-24 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10903052B2 (en) 2017-02-03 2021-01-26 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10529737B2 (en) 2017-02-08 2020-01-07 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10325923B2 (en) 2017-02-08 2019-06-18 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11915950B2 (en) 2017-05-17 2024-02-27 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11361939B2 (en) 2017-05-17 2022-06-14 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10468267B2 (en) 2017-05-31 2019-11-05 Applied Materials, Inc. Water-free etching methods
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10593553B2 (en) 2017-08-04 2020-03-17 Applied Materials, Inc. Germanium etching systems and methods
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US11101136B2 (en) 2017-08-07 2021-08-24 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10861676B2 (en) 2018-01-08 2020-12-08 Applied Materials, Inc. Metal recess for semiconductor structures
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10699921B2 (en) 2018-02-15 2020-06-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10615047B2 (en) 2018-02-28 2020-04-07 Applied Materials, Inc. Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11004689B2 (en) 2018-03-12 2021-05-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US12009228B2 (en) 2023-02-27 2024-06-11 Applied Materials, Inc. Low temperature chuck for plasma processing systems

Also Published As

Publication number Publication date
JP2015043470A (ja) 2015-03-05
KR20170087069A (ko) 2017-07-27
TW201604958A (zh) 2016-02-01
JP2011199243A (ja) 2011-10-06
TWI567822B (zh) 2017-01-21
TW201201274A (en) 2012-01-01
KR101760949B1 (ko) 2017-07-24
KR20110097706A (ko) 2011-08-31
JP5662079B2 (ja) 2015-01-28
TWI518775B (zh) 2016-01-21
KR101860676B1 (ko) 2018-05-23

Similar Documents

Publication Publication Date Title
JP5802323B2 (ja) エッチング処理方法
US9496150B2 (en) Etching processing method
US9972503B2 (en) Etching method
JP6689674B2 (ja) エッチング方法
TWI665726B (zh) 電漿蝕刻方法及電漿蝕刻裝置
US20110318933A1 (en) Substrate processing method
JP6382055B2 (ja) 被処理体を処理する方法
JP2010140944A (ja) プラズマエッチング装置及びプラズマクリーニング方法
US8420547B2 (en) Plasma processing method
JP6504827B2 (ja) エッチング方法
CN111048389A (zh) 等离子体处理方法和等离子体处理装置
JP2022115719A (ja) プラズマ処理装置及びプラズマ処理方法
KR101828082B1 (ko) 표면 평탄화 방법
JP7203531B2 (ja) プラズマ処理方法及びプラズマ処理装置
TWI835756B (zh) 基板處理方法及基板處理裝置
US10886135B2 (en) Substrate processing method and substrate processing apparatus
TWI836576B (zh) 電漿處理裝置及電漿處理方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20141203

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20150806

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20150818

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150828

R150 Certificate of patent or registration of utility model

Ref document number: 5802323

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250