JP5719430B2 - グラフェン・チャネルに基づく装置およびその製作方法 - Google Patents

グラフェン・チャネルに基づく装置およびその製作方法 Download PDF

Info

Publication number
JP5719430B2
JP5719430B2 JP2013510543A JP2013510543A JP5719430B2 JP 5719430 B2 JP5719430 B2 JP 5719430B2 JP 2013510543 A JP2013510543 A JP 2013510543A JP 2013510543 A JP2013510543 A JP 2013510543A JP 5719430 B2 JP5719430 B2 JP 5719430B2
Authority
JP
Japan
Prior art keywords
wafer
graphene
layer
graphene channel
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2013510543A
Other languages
English (en)
Other versions
JP2013531878A (ja
Inventor
チェン、クワン−ヌオン
リン、ユイ−ミーン
エイヴォリス、フェドン
ファーマー、デーモン、ブルックス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2013531878A publication Critical patent/JP2013531878A/ja
Application granted granted Critical
Publication of JP5719430B2 publication Critical patent/JP5719430B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8221Three dimensional integrated circuits stacked in different levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/06Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including a plurality of individual components in a non-repetitive configuration
    • H01L27/0688Integrated circuits having a three-dimensional layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1207Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with devices in contact with the semiconductor body, i.e. bulk/SOI hybrid circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/1606Graphene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7781Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with inverted single heterostructure, i.e. with active layer formed on top of wide bandgap layer, e.g. IHEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Thin Film Transistor (AREA)
  • Carbon And Carbon Compounds (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

本発明はグラフェンに基づく装置に関し、より特定的にはグラフェン・チャネルに基づく装置およびその製作のための技術に関する。
グラフェンは単一層のグラファイトである。グラフェンは特異な電子特性を有する。たとえば、グラフェンにおける電子運搬体は非常に高い移動度を示し、これは高性能無線周波数(radio frequency:rf)回路にとって魅力的である。グラフェンを含む装置および複合回路の使用における主要な課題の1つは、グラフェンの成長条件と、現在の相補型金属酸化物半導体(complementary metal−oxide semiconductor:CMOS)技術のプロセス制限との不適合性である。たとえば、炭化ケイ素(SiC)基体からエピタキシャルに成長するグラフェン層は少なくとも摂氏1,200度(℃)の反応温度を必要とするが、これはCMOSプロセスに対する約350℃から約400℃という温度上限を大きく上回る。もっと低い温度でグラフェン・シートを得るためのやり方の1つは、バルク・グラファイトを機械的に剥離して好適な基体に移すことによって達成される。しかしながらその後のプロセスにおいて、いずれのアプローチから得られるグラフェンも酸化によって破壊されるおそれがあり、グラフェンの特性も変わるおそれがある。
グラフェンはその運搬体移動度が高いため、rf回路適用における能動構成要素として魅力的な材料である。rf回路に対して、トランジスタの性能は主に遮断周波数、つまりそのトランジスタの電流利得が1となる周波数によって決まる。トランジスタの遮断周波数を改善するためには、トランジスタのコンタクトおよび相互接続に関連する寄生容量および残余抵抗を最小化する必要がある。
一般的に、電界効果トランジスタ(field effect transistor:FET)はソースと、ドレインと、ソースおよびドレインを接続するチャネル(単数または複数)とを含む。誘電体によってチャネルから分離されたゲートが、チャネルを通って流れる電子を調節する。ソース、ドレインおよびゲートには典型的に金属コンタクト(電極)が設けられる。従来のグラフェンに基づくFETでは、通常2つのタイプのゲート構造が採用されている。第1のタイプでは、良好なゲート制御を確実にするためにゲート・コンタクトがソース/ドレイン金属コンタクトと重なり合って(オーバーラップして)いる。第2のタイプでは、ゲートとソース/ドレイン・コンタクトとの間の寄生容量を避けるためにゲートがソース/ドレイン・コンタクトとアンダーラップしている。第1の設計において、装置性能はコンタクトのオーバーラップによる顕著な寄生容量の損害を受ける。第2の設計においては、ゲートとソース/ドレイン・コンタクトとの間の非ゲート(ungated)領域が残余直列抵抗に寄与する。いずれの設計も、高性能動作のために寄生容量および残余直列抵抗の問題に同時に対処できていない。
したがって、既存のCMOS技術とグラフェンとを有効に組み合わせることで、グラフェンを実用的な装置もしくは回路またはその両方における能動または受動素子としてうまく使用できるようにする新しい製作スキームが望ましく、さらに寄生容量および残余直列抵抗の両方を最小化するグラフェンに基づくトランジスタ装置設計も望ましい。
本発明は、グラフェン・チャネルに基づく装置およびその製作のための技術を提供する。本発明の一態様においては、半導体装置が提供される。この半導体装置は、第1の基板上に形成される少なくとも1つのグラフェン・チャネル、グラフェン・チャネルを囲む第1の酸化物層、ならびに第1の酸化物層を通って延在するグラフェン・チャネルに対するソースおよびドレイン・コンタクトを有する第1のウエハと、第2の基板に形成される相補型金属酸化物半導体(CMOS)装置層、CMOS装置層を囲む第2の酸化物層、および第2の酸化物層を通って延在するCMOS装置層に対する複数のコンタクトを有する第2のウエハとを含み、第1のウエハおよび第2のウエハは第1および第2の酸化物層の間の酸化物対酸化物ボンディングによってともに接合されている。CMOS装置層に対するコンタクトの1つまたはそれ以上は、グラフェン・チャネルに対するソースおよびドレイン・コンタクトと接触している。CMOS装置層に対するコンタクトの他の1つまたはそれ以上は、グラフェン・チャネルに対するゲート・コンタクトである。
本発明の別の態様においては、半導体装置を製作する方法が提供される。この方法は以下のステップを含む。第1の基板上に形成される少なくとも1つのグラフェン・チャネルと、グラフェン・チャネルを囲む第1の酸化物層と、第1の酸化物層を通って延在するグラフェン・チャネルに対するソースおよびドレイン・コンタクトとを有する第1のウエハが形成される。第2の基板に形成されるCMOS装置層と、CMOS装置層を囲む第2の酸化物層と、第2の酸化物層を通って延在するCMOS装置層に対する複数のコンタクトとを有する第2のウエハが形成される。第1のウエハおよび第2のウエハが第1および第2の酸化物層の間の酸化物対酸化物ボンディングによってともに接合されることによって、CMOS装置層に対するコンタクトの1つまたはそれ以上は、グラフェン・チャネルに対するソースおよびドレイン・コンタクトと接触し、CMOS装置層に対するコンタクトの他の1つまたはそれ以上は、グラフェン・チャネルに対するゲート・コンタクトとなる。
本発明のさらに別の態様においては、トランジスタ装置が提供される。このトランジスタ装置は、基板と、基板上に形成されるソースおよびドレイン・コンタクトと、ソースおよびドレイン・コンタクトを接続する、基板上に形成されるグラフェン・チャネルと、誘電体によってグラフェン・チャネルから分離されたグラフェン・チャネル上のゲート・コンタクトとを含み、ゲート・コンタクトはソースおよびドレイン・コンタクトとオーバーラップしない位置にあることによって、ゲート・コンタクトとソースおよびドレイン・コンタクトとの間にグラフェン・チャネルの露出部分を残し、グラフェン・チャネルの露出部分にはn型またはp型のドーパントがドープされる。
本発明のさらに別の態様においては、トランジスタ装置を製作する方法が提供される。この方法は以下のステップを含む。基板が提供される。基板上にソースおよびドレイン・コンタクトが形成される。ソース・コンタクトおよびドレイン・コンタクトを接続するグラフェン・チャネルが基板上に形成される。グラフェン・チャネルの上にゲート・コンタクトが形成されて、誘電体によってグラフェン・チャネルから分離され、ゲート・コンタクトはソースおよびドレイン・コンタクトとオーバーラップしない位置にあることによって、ゲート・コンタクトとソースおよびドレイン・コンタクトとの間にグラフェン・チャネルの露出部分を残す。グラフェン・チャネルの露出部分にはn型またはp型のドーパントがドープされる。
本発明ならびに本発明のさらなる特徴および利点のより完全な理解は、以下の詳細な説明および図面を参照することによって得られるだろう。
本発明の実施形態に従って、基板上にグラフェン層(単数または複数)を蒸着または成長させたところを示す断面図である。 本発明の実施形態に従う基板上のグラフェン層(単数または複数)の上面図を示す3次元(three−dimensional:3D)図である。 本発明の実施形態に従って、グラフェン/基板の上にマスクが形成されたところを示す3D図である。 本発明の実施形態に従って、マスクの周囲のグラフェン層(単数または複数)がエッチングされてパターン形成されることによってチャネルを規定したところを示す3D図である。 本発明の実施形態に従って、パターン形成されたグラフェン層(単数または複数)および基板の上に酸化物層が蒸着されたところを示す断面図である。 本発明の実施形態に従って、酸化物層の上にエッチング・マスクが形成されたところを示す断面図である。 本発明の実施形態に従って、酸化物層の中にトレンチがエッチングされて下にあるグラフェン層(単数または複数)の部分を露出したところを示す断面図である。 本発明の実施形態に従って、酸化物層の上に金属が蒸着されてトレンチを充填したところを示す断面図である。 本発明の実施形態に従って、蒸着された金属からソースおよびドレイン領域金属コンタクトが形成されたところを示す断面図である。 本発明の実施形態に従って、ウエハ対ウエハのボンディング・スキームを用いて図9のグラフェン・ウエハを相補型金属酸化物半導体(CMOS)装置ウエハと集積するところを示す断面図である。 本発明の実施形態に従って、ウエハ・ボンディング・プロセスを用いてグラフェン・ウエハおよびCMOS装置ウエハを向かい合うようにともに接合したところを示す断面図である。 本発明の実施形態に従って、グラフェン・ウエハから基板を除去したところを示す断面図である。 本発明の実施形態に従って、CMOS装置ウエハから基板を除去したところを示す断面図である。 本発明の実施形態に従って、図12の構造に追加の金属層を加えたところを示す断面図である。 本発明の実施形態に従って、図13に示される構造に追加の金属層を加えたところを示す断面図である。 本発明の実施形態に従って、グラフェン・ウエハとの集積化のためにいかにCMOS装置ウエハ構成要素を構成し得るかの例を提供する断面図である。 本発明の実施形態に従って、基板上にグラフェン層(単数または複数)を蒸着または成長させたところを示す断面図である。 本発明の実施形態に従って、基板上にグラフェン層(単数または複数)を蒸着または成長させたところの上面図を示す3D図である。 本発明の実施形態に従って、グラフェン層(単数または複数)/基板の上にレジスト・マスクをパターン形成したところを示す断面図である。 本発明の実施形態に従って、グラフェン層(単数または複数)/基板の上にレジスト・マスクをパターン形成したところの上面図を示す3D図である。 本発明の実施形態に従って、パターン形成されたレジスト・マスクの周りにソース/ドレイン・コンタクト金属を蒸着させたところを示す断面図である。 本発明の実施形態に従って、パターン形成されたレジスト・マスクの周りにソース/ドレイン・コンタクト金属を蒸着させたところの上面図を示す3D図である。 本発明の実施形態に従って、グラフェン層(単数または複数)の上にマスクがパターン形成されて能動チャネル領域を規定したところを示す断面図である。 本発明の実施形態に従って、グラフェン層(単数または複数)の上にマスクがパターン形成されたところの上面図を示す3D図である。 本発明の実施形態に従って、マスクによって保護されなかったグラフェン層(単数または複数)の部分がエッチングで除去されることによってグラフェン・チャネルを規定したところを示す断面図である。 本発明の実施形態に従って、マスクによって保護されなかったグラフェン層(単数または複数)の部分がエッチングで除去されることによってグラフェン・チャネルを規定したところの上面図を示す3D図である。 本発明の実施形態に従って、グラフェン・チャネルと、ソースおよびドレイン金属コンタクトと、基板との上にゲート誘電体がブランケット蒸着されたところを示す断面図である。 本発明の実施形態に従って、グラフェン・チャネルと、ソースおよびドレイン金属コンタクトと、基板との上にゲート誘電体がブランケット蒸着されたところの上面図を示す3D図である。 本発明の実施形態に従って、グラフェン・チャネルの上にゲート金属コンタクトがパターン形成されて、ゲート誘電体によってグラフェン・チャネルから分離されているところを示す断面図である。 本発明の実施形態に従って、グラフェン・チャネルの上にゲート金属コンタクトがパターン形成されて、ゲート誘電体によってグラフェン・チャネルから分離されているところの上面図を示す3D図である。 本発明の実施形態に従って、ゲート金属コンタクトによって覆われなかったゲート誘電体の部分がエッチングで除去されたところを示す断面図である。 本発明の実施形態に従って、ゲート金属コンタクトによって覆われなかったゲート誘電体の部分がエッチングで除去されたところの上面図を示す3D図である。 本発明の実施形態に従って、ソースおよびドレイン金属コンタクトと、ゲート金属コンタクトと、グラフェン・チャネルの露出部分と、基板との上にドーパントがブランケット蒸着されたところを示す断面図である。 本発明の実施形態に従って、ソースおよびドレイン金属コンタクトと、ゲート金属コンタクトと、グラフェン・チャネルの露出部分と、基板との上にドーパントがブランケット蒸着されたところの上面図を示す3D図である。 本発明の実施形態に従って、ソースおよびドレイン金属コンタクトと、ゲート金属コンタクトと、グラフェン・チャネルの露出部分と、基板との上に保護キャッピング層が形成されたところを示す断面図である。 本発明の実施形態に従って、ソースおよびドレイン金属コンタクトと、ゲート金属コンタクトと、グラフェン・チャネルの露出部分と、基板との上に保護キャッピング層が形成されたところの上面図を示す3D図である。
本明細書においては、グラフェン・チャネルに基づく装置およびその製作のための技術が提供される。本技術は、製作中の相補型金属酸化物半導体(CMOS)/グラフェンの処理温度の不適合性(たとえば以下に説明される図1〜図16などを参照)と、完成した装置における寄生容量および残余抵抗(たとえば以下に説明される図17〜図36などを参照)とに関連する上述の問題に対処するものである。
図1〜図16は、グラフェンに基づく回路およびCMOS回路の両方を有する半導体装置を製作するための例示的方法論を示す図である。以下に詳細に説明されるとおり、本技術は、グラフェンおよびCMOS回路を別々に製作してからウエハ・ボンディング・プロセスを用いてグラフェンおよびCMOS回路を集積することを含む新規の3次元(3D)集積化アプローチを用いる。グラフェンおよびCMOS回路を別々に製作してから後のプロセスでその2つを集積することによって、グラフェン形成温度がCMOS製作のプロセス制限を超えることに関連する問題を回避できる。
図1は、基板104の上に1つまたはそれ以上のグラフェン層102(例、単一層から10層までのグラフェン)を蒸着または成長させたところを示す断面図である。グラフェン層(単数または複数)102がたとえば機械的剥離などを用いて蒸着されるとき、基板104は絶縁ウエハまたは絶縁上層(overlayer)を有するウエハであってもよく、たとえば二酸化ケイ素(SiO)で被覆されたシリコン(Si)ウエハなどであってもよい。グラフェン層(単数または複数)102がたとえばエピタキシによるシリコン昇華などによって成長するとき、基板104は炭化ケイ素(SiC)ウエハであってもよい。基板にグラフェン層(単数または複数)を蒸着するためのたとえば剥離などを含む技術、もしくは基板にグラフェン層(単数または複数)を成長させるためのたとえばSiCエピタキシなどを含む技術、またはその両方は当業者に公知であるため、本明細書においてはさらに説明しない。図2は、基板104上の(蒸着または成長させた)グラフェン層(単数または複数)102の別の斜視図すなわち上面図を示す3D図である。
グラフェンは、装置の1つまたはそれ以上のトランジスタ(本明細書においては「グラフェン・チャネル・トランジスタ」または単に「グラフェン・トランジスタ」とも呼ばれる)の能動チャネル(単数または複数)として働くように構成される。よって、この方法論における次のステップは、グラフェン層(単数または複数)をチャネル(単数または複数)のレイアウトによってパターン形成することである。図3は、グラフェン/基板の上にマスク302が形成されたところを示す3D図である。このマスクは、グラフェン層(単数または複数)の不必要な領域をエッチングで除去するために用いられる。例示的実施形態に従うと、マスク302はポリ(メチルメタクリレート)(poly(methyl methacrylate):PMMA)でできている。たとえばPMMAなどのエッチング・マスクを形成するための技術は当業者に公知であるため、本明細書においてはさらに説明しない。次に、(マスク302の周りの)エッチングを用いてグラフェンをパターン形成し、それによってチャネル(単数または複数)を規定する。図4は、パターン形成されたグラフェン層(単数または複数)102を示す3D図である。例示的実施形態に従うと、グラフェンは酸素プラズマによってエッチングされる。図4に示されるとおり、エッチングの後にマスク302は除去される。PMMAで形成される例示的マスクに対しては、たとえばアセトンなどの溶剤中でマスクが除去されてもよい。
次いで、パターン形成されたグラフェン層(単数または複数)を囲むように酸化物層が蒸着される。すなわち図5は、パターン形成されたグラフェン層(単数または複数)102/基板104の上に酸化物層502が蒸着されたところを示す断面図である。例示的実施形態に従うと、酸化物層502は、原子層蒸着(atomic layer deposition:ALD)もしくは低温化学蒸着(chemical vapor deposition:CVD)またはその両方を用いて、パターン形成されたグラフェン/基板の上に蒸着される。この酸化物は2つの目的を果たす。第1に、この酸化物はグラフェン・トランジスタ中のチャネル(単数または複数)に対するゲート誘電体の働きをする。下記を参照されたい。第2に、この酸化物はその後の処理の際にグラフェンを損傷から保護するために用いられる。加えて、この酸化物層は後に、対応するCMOS装置ウエハと酸化物対酸化物ボンディングを形成するためのウエハ・ボンディング・ステップの際のプロセスにおいて用いられる。均一な酸化物被覆を生成するために、グラフェンの表面の官能化プロセスが必要な場合がある。たとえば、ALD酸化物蒸着の前にグラフェンの表面を二酸化窒素(NO)と反応させることによって官能化してもよい。別の例として、ALDプロセスの前にたとえば約1ナノメートル(nm)から約2nmなどの厚さのアルミニウム(Al)の薄層をグラフェン表面に蒸着させて自然に酸化させてもよい。
次いで、グラフェン・チャネル(単数または複数)のソースおよびドレイン・コンタクトの規定に用いられるマスクが酸化物層の上に形成される。すなわち図6は、酸化物層502の上にエッチング・マスク602が形成されたところを示す断面図である。このマスクはたとえばPMMAなどの電子(electron)ビーム(e−ビーム)レジスト・マスク材料であってもよいし、金属のハード・マスクであってもよい。レジスト・マスクまたは金属のハード・マスクを形成するための技術は当業者に公知であるため、本明細書においてはさらに説明しない。
次いでエッチングを用いて、金属コンタクト形成のためにグラフェン層(単数または複数)の領域を露出する。すなわち図7は、酸化物層502の中にトレンチ702がエッチングされて下にあるグラフェン層(単数または複数)102の部分を露出させたところを示す断面図である。例示的実施形態に従うと、トレンチ702を形成するためにウエット・エッチングが用いられる。次いで、エッチングの際にマスクとして用いられたマスク602が除去される。PMMAで形成される例示的マスクに対しては、たとえばアセトンなどの溶剤中でマスクが除去されてもよい。
その後、酸化物層502の上に金属が蒸着されてトレンチ702を充填する。たとえば図8を参照されたい。図8に示される例示的実施形態に従うと、この金属は第1の金属層802および第2の金属層804の2層で構成される。第1(底部)の金属層はグラフェンとの良好な接触を可能にする金属(単数または複数)、たとえばパラジウム(Pd)およびチタン(Ti)などからなるのに対し、第2(頂部)の金属層は後のウエハ・ボンディング・ステップ(下を参照)における接着を可能にする銅(Cu)からなる。よって図8に示されるとおり、この金属はグラフェン層(単数または複数)の予め露出させた部分と接触する。単なる例として、第1の金属層はe−ビーム蒸発およびスパッタリングを用いて約1nmから約100nmの厚さに蒸着されてもよく、第2の金属層は電気化学蒸着を用いて約5nmから約100マイクロメートル(μm)の厚さに蒸着されてもよい。
図9は、蒸着された金属からソースおよびドレイン領域金属コンタクトが形成されたところを示す断面図である。図9に示されるとおり、金属被覆した基板をたとえば化学機械研磨(chemical mechanical polishing:CMP)などを用いて研磨することによって余分な金属を除去し、かつ酸化物層を薄くしてたとえば約5nmから約1μmなどの所望の厚さにする。上に強調したとおり、グラフェンをパターン形成することによって回路のチャネル(単数または複数)を規定している。その結果として、(上述のとおり規定された)グラフェン・チャネルに対するソースおよびドレイン金属コンタクトが形成される。説明を簡単かつ明瞭にするために、図9に示される構造、すなわち基板(ウエハ)上に形成されたグラフェン・チャネル(単数または複数)ならびに金属ソースおよびドレイン・コンタクトを有する構造を、以下の記載においては一般的に「グラフェン・ウエハ」と呼ぶ。上に強調したとおり、グラフェン・ウエハはたとえば1つまたはそれ以上のグラフェン・トランジスタなどのグラフェンに基づく回路を含む。
図10は、グラフェン・ウエハをCMOS装置ウエハ1002とともに集積するために用いられるウエハ対ウエハのボンディング・スキームを示す断面図である。図10に示されるとおり、CMOS装置ウエハ1002はグラフェン・ウエハとの向かい合わせのボンディングを可能にするために上下反転されている。なお代替的に、CMOS装置ウエハとの向かい合わせのボンディングを可能にするためにグラフェン・ウエハが上下反転されてもよい(図示せず)。
例示的実施形態に従うと、CMOS装置ウエハ1002は、基板1006に形成された、ボックス1004によって模式的に表される配線およびその他のCMOS構造もしくは装置またはその両方(本明細書においては集合的に「CMOS装置層」とも呼ばれる)を含む。一例において、CMOS装置層は、デジタル信号処理もしくはデジタル−アナログ信号変換もしくはアナログ−デジタル信号変換またはその組み合わせのためのCMOSトランジスタもしくは回路またはその両方を含んでもよい。この例においては、デジタル信号はCMOS装置層において処理され、アナログ無線周波数(rf)信号はグラフェン・ウエハ内のグラフェン・トランジスタによって処理される。
例示的実施形態に従うと、基板1006はバルク・シリコンまたはシリコン・オン・インシュレータ(silicon−on−insulator:SOI)ウエハであってもよい。SOIウエハは一般的に、埋設酸化物(buried oxide:BOX)によって基板から分離されたシリコン(SOI)層からなる。当業者に明らかになるとおり、SOIウエハが用いられるときには、CMOS配線、構造もしくは装置またはその組み合わせがSOI層に形成されてもよい。可能なCMOS配線、構造もしくは装置またはその組み合わせは、金属線、ビア、メモリ、もしくは電界効果トランジスタ(field−effect transistors:FETs)などの論理トランジスタ、またはその組み合わせを含んでもよいがそれに限定されない。CMOS配線、構造もしくは装置またはその組み合わせ、およびそれらをバルク・シリコンまたはSOIウエハ上に形成するための技術は当業者に明らかであろう。しかし一般的に、トランジスタはソースと、ドレインと、ソースおよびドレインを接続するチャネル(単数または複数)とを含む。誘電体(ゲート誘電体)によってチャネルから分離されたゲートは、チャネルを通る電子の流れを調節する。本教示においては、グラフェン装置のゲートに対する金属コンタクトがCMOS装置ウエハ上に設けられる。そのやり方で、上に強調されるとおり酸化物層(すなわちグラフェン・ウエハ上にすでに存在する酸化物層502)がゲート・コンタクトとグラフェン・チャネル(単数または複数)との間のゲート誘電体として効果的に働くことができる。グラフェン・ウエハのトランジスタとの集積化のためにいかにCMOS装置ウエハの構成要素を構成し得るかの例を、以下に説明する図16に示している。
図10に示されるとおり、それぞれS、DおよびGで表されるソース、ドレインおよびゲート金属コンタクトは、CMOS装置層と接触している(すなわちこれらのコンタクトはCMOS装置層のさまざまなCMOS配線、構造もしくは装置またはその組み合わせに接続されている)。ソース、ドレインおよびゲート・コンタクトをCMOS装置層のこれらの構成要素とどのように接続し得るかの一例を、以下に説明する図16に提供している。CMOS装置ウエハにおいて、グラフェン・ウエハのさまざまな装置に対するソース、ドレインおよびゲート金属コンタクトは、グラフェン・ウエハのソースおよびドレイン金属コンタクトに関して上述したのと同じようにCMOS装置層を囲んでいるたとえば酸化物層1008などの酸化物層内に形成されてもよい。しかし、この場合にはPd/Ti層は必要ない。なぜならこの層の目的はグラフェン・シートとの接着を容易にすることだからである(上記を参照)。
図11は、ウエハ・ボンディング・プロセスを用いて、グラフェン・ウエハおよびCMOS装置ウエハが向かい合うようにともに接合されたところを示す断面図である。図11に示される例示的実施形態においては、グラフェン・ウエハの酸化物層502とCMOS装置ウエハの酸化物層1008との間の酸化物対酸化物ボンディング、ならびに2つのウエハの対応するソースおよびドレイン金属コンタクトの間の銅対銅ボンディングによって、ウエハがともに接合されている。典型的に、ボンディング温度は摂氏400度(℃)未満である。したがってこのプロセスの間に装置が破壊されることはない。
3D集積化は、グラフェン・エレクトロニクスに対する実装および集積回路(integrated circuit:IC)技術のギャップを埋めるための非常に有力な候補になってきている。CMOSの最新式の能動装置層を積層できることが示されている。3D集積化技術は、スケーリングの不在下でもシステム性能を上げる新しいやり方となる見込みがある。加えて、グラフェン内の超高移動度の運搬体のために、全体の回路性能の決定において相互接続の寄生抵抗および容量がより重要になることも予期される。これに関して、3D集積化はグラフェンに基づく回路に対する大きな利点を提供する。この見込みは3D集積化のいくつかの特有の特徴によるものであり、その特徴は(a)合計配線長の減少およびそれによる相互接続遅延時間の低減、(b)チップ間の相互接続数の劇的増加、ならびに(c)異なる材料、プロセス技術および機能の集積を可能にする能力を含む。これらの利点の中でも特に3Dからの項目(c)は、上述の熱収支の問題を解決するための良好なアプローチを提供する。
よって、グラフェン回路を生産するための本技術の利点は以下を含む。1)グラフェンを上述の2つの方法を含む広範囲の異なるアプローチによって調製できる、2)炭素材料の混入の可能性なしに標準的なクリーンルーム設備において複雑な回路を予め製作できる、3)ウエハ・ボンディング・プロセスにおける位置合わせによって、グラフェン・チャネルが常に回路の所望の位置に組み込まれることが確実になる、4)グラフェン・チャネルは別の基板上で別に製作されるため、既存のCMOS装置の要求、たとえばプロセスの間の温度、ウエット・エッチング環境、ガス環境などを保つことができる、および5)グラフェン回路の場合に相互接続によって支配される回路遅延時間を顕著に低減できる。
次いで、接合ウエハのさらなる処理を行うことができる。たとえば、グラフェン・ウエハ(図12に示されるとおり、基板104の大部分が除去されてその小さい部分のみが残される)またはCMOS装置ウエハ(図13に示されるとおり、基板1006の大部分が除去されてその小さい部分のみが残される)のいずれかから基板を除去してもよい。ボンディング後には2つのウエハからの2つの基板が存在するため、どちらの基板を除去するかの選択は回路の設計に依拠する(すなわちそれによって構造の追加層の製作を可能にする、以下を参照)。バルク・シリコン・ウエハからの停止厚さのシリコンが選択されてもよく、一方で埋設酸化物層はSOIウエハに対する停止層である。例示的実施形態に従うと、CMPまたはその他の類似の研磨もしくは研削プロセスまたはその両方を用いて、所望の基板が除去される。
上に強調されるとおり、基板が除去されるのは、接合された構造の上に追加の装置層もしくは金属層またはその両方を製作できるようにするためである。これらの追加層の例を図14および図15に示している。すなわち図14は、(グラフェン・ウエハから基板が除去された)図12に示される構造に追加の金属層1402が加えられたところを示す断面図である。例示的実施形態に従うと、金属層1402は、上述と同じように酸化物層1404を蒸着してから酸化物層1404内に金属(例、Cu)コンタクト1406を形成することによって形成される。図14に示される例示的構成において、コンタクト1406はグラフェン・チャネルと接触していない。
図15は、(CMOS装置ウエハから基板が除去された)図13に示される構造に追加の金属層1502が加えられたところを示す断面図である。例示的実施形態に従うと、金属層1502は、上述と同じように酸化物層1504を蒸着してから酸化物層1504内に金属(例、Cu)コンタクト1506を形成することによって形成される。
図16は、グラフェン・ウエハのトランジスタとの集積化のためにいかにCMOS装置ウエハ1002の構成要素を構成し得るかの一例を提供する断面図である。図16に示される特定の設計は、当業者によって想定され得るCMOS装置ウエハ1002の可能な多くの構成のうちの1つであり、ウエハ間の接続をどのように達成し得るかを示すことのみが意図されている。図16に示されるとおり、CMOS装置ウエハ1002はSOI層1602を含んでもよく、その中に、たとえば金属酸化物半導体電界効果トランジスタ(MOSFET)などのCMOSトランジスタのソースおよびドレイン領域をそれぞれ構成するドープされたシリコン領域1604および1606が形成される。それぞれS’、D’およびG’で表されるソース、ドレインおよびゲート金属コンタクトがCMOSトランジスタに設けられる。これらのコンタクトは絶縁層1608内に形成され、酸化物層1008内のソース、ドレインおよびゲート・コンタクトと接続される。たとえば、この接続を行うために金属線1610が使用されているところを参照されたい。絶縁層内に金属コンタクトを形成してから周囲の酸化物層に他の金属コンタクトを形成するための技術は通常の当業者に明らかであるため、本明細書においてはさらに説明しない。CMOS装置ウエハとグラフェン・ウエハとの接合は、たとえば上述の図10および図11などに示されるとおりに達成されてもよい。上述のとおり、グラフェン・ウエハ内の1つまたはそれ以上のグラフェン・トランジスタを完成させるためにそれぞれS、DおよびGで表されるソース、ドレインおよびゲート金属コンタクトが用いられる。よっていくつかの実施形態において、グラフェン・ウエハは1つまたはそれ以上のトランジスタを含み、CMOS装置ウエハも1つまたはそれ以上のトランジスタ(本明細書においては「CMOSトランジスタ」とも呼ばれる)を含むこととなる。グラフェン・トランジスタおよびCMOSトランジスタは、図16に示されるのと同じ技術を用いて互いにインタフェースしてもよい。
上に強調されるとおり、寄生容量および残余抵抗は、従来のグラフェンに基づくトランジスタ設計に影響する重要な要素である。有利なことに、本技術は寄生容量および残余抵抗の両方を最小化するやり方を提供し、これは従来の設計では達成できないことである。
図17〜図36は、rf適用のためのグラフェンに基づくトランジスタ装置を製作するための例示的方法論を示す図である。以下に詳細に説明されるとおり、本方法論は自己整合ドーピング/ゲーティングを用いて寄生容量および残余直列抵抗の両方を最小化する。
製作プロセスを開始するために、上述のとおり基板上にグラフェン層(単数または複数)が形成され、すなわち蒸着または成長する。すなわち図17は、基板1704上に1つまたはそれ以上のグラフェン層1702(例、グラフェンの単一層から10層まで)が蒸着または成長したところを示す断面図である。グラフェン層(単数または複数)1702は、機械的剥離を介して基板1704に蒸着されてもよいし、(例、エピタキシによるシリコン昇華によって)SiC上にエピタキシャルに成長してもよい。上に強調されるとおり、これらのグラフェン形成プロセスはどちらも当業者に公知であるため、本明細書においてはさらに説明しない。グラフェン層(単数または複数)1702が蒸着されるとき、基板1704は絶縁ウエハまたは絶縁上層を有するウエハであってもよく、たとえばSiOで被覆されたSiウエハなどであってもよい。グラフェン層(単数または複数)1702が成長するとき、基板1704はSiCウエハであってもよい。図18は、基板1704上にグラフェン層(単数または複数)1702が蒸着または成長したところの別の斜視図すなわち上面図を示す3D図である。
次に、グラフェン層(単数または複数)/基板の上にレジスト・マスクがパターン形成されて、ソースおよびドレイン・コンタクト領域を規定する。すなわち図19は、グラフェン層(単数または複数)1702/基板1704の上にレジスト・マスク1902がパターン形成されたところを示す断面図である。レジスト・マスクは、たとえば光学またはe−ビーム・リソグラフィ・レジスト(PMMA、水素シルセスキオキサン(hydrogen silsesquioxane:HSQ)またはS1818(R)、ローム・アンド・ハース電子材料(Rohm and Haas Electronic Materials)LLC、マールボロ、MAより入手可能)などのソフト・マスクであってもよいし、たとえば適合する蒸着方法によって蒸着された酸化物、窒化物または金属などのハード・マスクであってもよい。ソフト・マスクまたはハード・マスクを形成するための技術は当業者に公知であるため、本明細書においてはさらに説明しない。図20は、グラフェン層(単数または複数)1702/基板1704の上にパターン形成されたレジスト・マスク1902の別の斜視図すなわち上面図を示す3D図である。図20に示される斜視図から、(以下にさらに説明されるとおりに)ソースおよびドレイン・コンタクト領域が形成される場所が分かる。
次いでコンタクト金属が蒸着される。すなわち図21は、パターン形成されたレジスト・マスク1902の周りにソース/ドレイン・コンタクト金属2102が蒸着されたところを示す断面図である。図21に示されるとおり、コンタクト金属が蒸着されると、レジスト・マスク1902は除去される。選択的金属コンタクト形成は、当業者に公知の標準的なリフトオフ・プロセスに従う。金属は最初にe−ビーム蒸発、熱蒸発またはスパッタリングによってレジスト・マスク1902/基板1704の上にブランケット蒸着される。コンタクト金属として、たとえばPd、Ti、金(Au)、Al、タングステン(W)などの金属が用いられてもよい。ブランケット金属蒸着の後、適切な溶剤においてレジスト・マスク1902が除去され、そうすることによって同時にレジスト・マスク上の金属も除去される。例示的実施形態に従うと、レジスト・マスク1902はPMMAでできており、リフトオフ・プロセスにおける溶剤としてアセトンを用いて除去できる。図22は、パターン形成されたレジスト・マスク1902の周りにソース/ドレイン・コンタクト金属2102が蒸着されたところの別の斜視図すなわち上面図を示す3D図である。
次いで、保護ハード・マスクまたはソフト・マスクがグラフェン上にパターン形成されることによって、装置の能動チャネル領域を規定する。すなわち図23は、グラフェン層(単数または複数)1702の上にマスク2302がパターン形成されたところを示す断面図である。ここでもソフト・マスクまたはハード・マスクを形成するための技術は当業者に公知であるため、本明細書においてはさらに説明しない。図24は、グラフェン層(単数または複数)1702の上にマスク2302がパターン形成されたところの別の斜視図すなわち上面図を示す3D図である。
次いで、保護されていないグラフェンが除去される。すなわち図25は、マスク2302によって保護されなかったグラフェン層(単数または複数)1702の部分が、たとえばドライ・エッチング技術(例、Oプラズマ)などによるエッチングで除去されて装置のチャネル2502を規定したところを示す断面図である。図25に示されるとおり、マスク2302も適切な溶液において除去されている。例示的実施形態に従うと、エッチング・マスク2302はPMMAでできており、アセトンにおいて除去できる。図26は、マスク2302によって保護されなかったグラフェン・シート1702の部分がエッチングで除去されたところの別の斜視図すなわち上面図を示す3D図である。
保護マスクを除去してグラフェン・チャネルを露出した後、装置の表面にゲート誘電体が蒸着される。すなわち図27は、装置の上、すなわちグラフェン・チャネル2502と、ソースおよびドレイン金属コンタクト2102と、基板1704(図28を参照)との上にゲート誘電体2702(例、酸化物)がブランケット蒸着されたところを示す断面図である。例示的実施形態に従うと、ゲート誘電体2702はALD、CVD、プラズマ・スパッタリングまたはe−ビーム蒸着を用いて蒸着される。使用される蒸着技術および蒸着される誘電体の厚さによっては、グラフェン・チャネルの表面が適切な誘電体被覆を確実にするために官能化を必要とすることがある。一例として、ALD酸化物蒸着の前にグラフェンをNOと反応させることによって官能化してもよい。別の例として、ALDプロセスの前に約1nmから約2nmの厚さのAlの薄層をグラフェン表面に蒸着させて自然に酸化させる。図28は、グラフェン・チャネル2502と、ソースおよびドレイン金属コンタクト2102と、基板1704との上にゲート誘電体2702がブランケット蒸着されたところの別の斜視図すなわち上面図を示す3D図である。
誘電体蒸着の後に、グラフェン・チャネルの頂部にゲート金属コンタクトがパターン形成される。すなわち図29は、グラフェン・チャネル2502の上にゲート金属コンタクト2902がパターン形成されて、ゲート誘電体2702によってグラフェン・チャネル2502から分離されているところを示す断面図である。ゲート金属コンタクト2902のパターン形成には標準的なリソグラフィ・プロセスが用いられる。図29および図30(以下に説明される)から、ゲート金属コンタクト2902はソースおよびドレイン金属コンタクト2102の上に延在しない(オーバーラップしない)ように配置されることに注目すべきである。本明細書においてこの構成はアンダーラップ・ゲート構成とも呼ばれ、すなわちゲート金属コンタクトがソースおよびドレイン金属コンタクトとアンダーラップしている。図30は、グラフェン・チャネル2502の上にゲート金属コンタクト2902がパターン形成されて、ゲート誘電体2702によってグラフェン・チャネル2502から分離されているところの別の斜視図すなわち上面図を示す3D図である。
次いで、ゲート金属コンタクトによって覆われていない誘電体の部分がエッチングで除去される。すなわち図31は、ゲート金属コンタクト2902によって覆われていないゲート誘電体2702の部分がエッチングで除去されたところを示す断面図である。これによって、ゲート金属コンタクト2902の両側にグラフェン・チャネルの露出部分3102が残される。例示的実施形態に従うと、誘電体は酸化アルミニウム(Al)でできており、リン酸を用いてエッチングで除去される(ゲート金属コンタクト2902の両側のグラフェン・チャネルの部分3102を露出させるために、すべての誘電体を除去する必要がある)。図32は、ゲート金属コンタクト2902によって覆われていないゲート誘電体2702の部分がエッチングで除去されたところの別の斜視図すなわち上面図を示す3D図である。
次いで、化学ドーピングを行ってグラフェン・チャネルの露出部分(すなわち部分3102)をドープする。すなわち図33は、ドーパント3302が装置表面に適用されたところ、すなわちソースおよびドレイン金属コンタクト2102と、ゲート金属コンタクト2902と、グラフェン・チャネルの露出部分3102と、基板1704(以下に説明する図34を参照)との上にブランケット蒸着されたところを示す断面図である。ドーパント3302は、n型(例、ポリ(エチレンイミン)(poly(ethylene imine):PEI))またはp型(例、ジアゾニウム塩)の分子ドーパントである。ドーパントに露出されるグラフェン・チャネルの部分(すなわち部分3102)はゲート電極の位置によって規定され、結果として自己整合ドーピング/ゲーティング構造が得られる。図33に示されるとおり、このプロセスにおいてゲート金属コンタクトは誘電体除去のためのエッチング・マスクおよびドーピング領域を規定するためのドーピング・マスクとして用いられる。図34は、ソースおよびドレイン金属コンタクト2102と、ゲート金属コンタクト2902と、グラフェン・チャネルの露出部分3102と、基板1704との上にドーパント3302がブランケット蒸着されたところの別の斜視図すなわち上面図を示す3D図である。
本技術によって、アンダーラップ構造と類似の自己整合ゲートによって寄生容量が最小化され、非ゲート領域への化学ドーピングによって残余直列抵抗が最小化される。p型(またはn型)装置に対してはp型(またはn型)ドーパントを用いることによって、主要な移送分岐の運搬体移動度がドーパントによって低下しないことを確実にする。本設計によって、グラフェンrf装置の性能が改善されることが期待される。特に本技術は、下に説明するとおり、装置の性能に影響する付加的な容量を導入することなく装置の特性を制御するための新しい化学ドーピング・スキームを採用している。グラフェン特性の制御における主要な課題の1つは、安定でグラフェン運搬体移動度を低下させないドーパントによってグラフェンの化学ポテンシャルを変更できないことにある。たとえば、グラフェンはカリウムに露出されるときにはn型の挙動を示すが、このドーピング法は電子伝導を抑制する散乱中心も導入するため、グラフェンによって与えられる望ましい電子特性が損なわれる。さらにカリウムは化学的に不安定であり、容易に拡散して材料に移動し、望ましくない不均質性を生じ得る。この問題に対処するために可能な解決策の1つは、フェルミ・エネルギが電気的ゲーティングによって制御される静電ドーピングを用いることである。しかし、この電気的ドーピング法は容易にスケーラブルでなく、寄生容量を導入し得る。したがって化学ドーピングが好ましいが、適切なドーパントがなおも必要とされている。2つの分子ドーパント(ポリエチレンイミン(PEI)およびジアゾニウム塩)がグラフェンにおけるn型ドーピングおよびp型ドーピングをそれぞれ提供することが公知である。加えてこれら2つの分子ドーパントは、グラフェンにおける電子または正孔運搬体移動度のいずれかを選択的に保存し、本明細書において高性能グラフェンrf装置の製作に用いられている。
次に任意のステップとして、装置を保護キャッピング層の中に封入してもよい。すなわち図35は、装置の上、すなわちソースおよびドレイン金属コンタクト2102と、ゲート金属コンタクト2902と、グラフェン・チャネルの露出部分3102と、基板1704との上に保護キャッピング層3502が形成されたところを示す断面図である。例示的実施形態に従うと、保護キャッピング層は酸化物または窒化物材料で構成され、e−ビーム蒸発、ALDまたはCVDを用いて蒸着される。保護キャッピング層3502の目的は、グラフェン・チャネルをその電子特性に影響し得る環境から分離することである。図36は、ソースおよびドレイン金属コンタクト2102と、ゲート金属コンタクト2902と、グラフェン・チャネルの露出部分3102と、基板1704との上に保護キャッピング層3502が形成されたところの別の斜視図すなわち上面図を示す3D図である。
こうして、本装置設計によって寄生抵抗および容量が同時に最小化され、装置性能全体の向上が可能になる。グラフェンrf装置を製作するためのこの新しいアプローチの利点は次のとおりである。1)自己整合ゲーティング/ドーピングによって寄生抵抗および容量が最小化され、装置の高周波数機能性が向上する、2)ドーピング・プロファイルをパターン形成するために自己整合技術が用いられることによって、製作プロセスの複雑性が低減され、装置変動の最適な制御が確実になる、および3)所望の運搬体分岐を劣化させないドーパントを用いることによって、グラフェンの高い運搬体移動度が保たれる。使用されるドーパントによって、電子または正孔のいずれかの移動度が保たれる。たとえばPEIは電子移動度を保つのに対し、ジアゾニウムは正孔移動度を保つ。
本明細書においては本発明の例示的実施形態を説明したが、本発明はそれらの厳密な実施形態に限定されるものではなく、さらに本発明の範囲から逸脱することなく当業者によってさまざまなその他の変更および修正が行われ得ることが理解されるべきである。

Claims (9)

  1. 半導体装置であって、
    第1の基板上に形成される少なくとも1つのグラフェン・チャネル、前記グラフェン・チャネルを囲む第1の酸化物層、ならびに前記第1の酸化物層を通って延在する前記グラフェン・チャネルに対するソースおよびドレイン・コンタクトを有する第1のウエハと、
    第2の基板に形成される相補型金属酸化物半導体(CMOS)装置層、前記CMOS装置層を囲む第2の酸化物層、および前記第2の酸化物層を通って延在する前記CMOS装置層に対する複数のコンタクトを有する第2のウエハであって、前記第1のウエハおよび前記第2のウエハは前記第1および第2の酸化物層の間の酸化物対酸化物ボンディングによってともに接合される、第2のウエハと
    を含み、前記CMOS装置層に対する前記コンタクトの1つまたはそれ以上は、前記グラフェン・チャネルに対する前記ソースおよびドレイン・コンタクトと接触しており、前記CMOS装置層に対する前記コンタクトの他の1つまたはそれ以上は、前記グラフェン・チャネルに対するゲート・コンタクトである、装置。
  2. 前記CMOS装置層は1つまたはそれ以上のCMOS配線、構造および装置を含む、請求項1に記載の装置。
  3. 前記第1の基板は、絶縁ウエハ、絶縁上層を有するウエハ、または炭化ケイ素ウエハを含む、請求項1に記載の装置。
  4. 前記第2の基板は、シリコン・オン・インシュレータ・ウエハまたはバルク・シリコン・ウエハを含む、請求項1に記載の装置。
  5. 前記グラフェン・チャネルに対する前記ソースおよびドレイン・コンタクトならびに前記CMOS装置層に対する前記コンタクトの各々は銅を含み、前記第1のウエハおよび前記第2のウエハはさらに、前記グラフェン・チャネルに対する前記ソースおよびドレイン・コンタクトと、前記CMOS装置層に対する前記コンタクトの1つまたはそれ以上との間の銅対銅ボンディングによってともに接合される、請求項1に記載の装置。
  6. 前記第1のウエハおよび前記第2のウエハは向かい合わせの向きでともに接合される、請求項1に記載の装置。
  7. 半導体装置を製作する方法であって、
    第1の基板上に形成される少なくとも1つのグラフェン・チャネル、前記グラフェン・チャネルを囲む第1の酸化物層、ならびに前記第1の酸化物層を通って延在する前記グラフェン・チャネルに対するソースおよびドレイン・コンタクトを有する第1のウエハを形成するステップと、
    第2の基板に形成されるCMOS装置層、前記CMOS装置層を囲む第2の酸化物層、および前記第2の酸化物層を通って延在する前記CMOS装置層に対する複数のコンタクトを有する第2のウエハを形成するステップと、
    前記第1のウエハおよび前記第2のウエハを前記第1および第2の酸化物層の間の酸化物対酸化物ボンディングによってともに接合することによって、前記CMOS装置層に対する前記コンタクトの1つまたはそれ以上が前記グラフェン・チャネルに対する前記ソースおよびドレイン・コンタクトと接触し、前記CMOS装置層に対する前記コンタクトの他の1つまたはそれ以上が前記グラフェン・チャネルに対するゲート・コンタクトとなるようにするステップと
    を含む、方法。
  8. 前記第1のウエハまたは前記第2のウエハの一方を上下反転させることによって、前記第1のウエハまたは前記第2のウエハの他方との向かい合わせのボンディングを可能にするステップをさらに含む、請求項7に記載の方法。
  9. トランジスタ装置であって、
    基板と、
    前記基板上に形成されるソースおよびドレイン・コンタクトと、
    前記基板上に形成されて前記ソースおよびドレイン・コンタクトを接続するグラフェン・チャネルと、
    誘電体によって前記グラフェン・チャネルから分離されている前記グラフェン・チャネル上のゲート・コンタクトであって、前記ゲート・コンタクトは前記ソースおよびドレイン・コンタクトとオーバーラップしない位置にあり、前記ゲート・コンタクトと前記ソースおよびドレイン・コンタクトとの間に前記グラフェン・チャネルの露出部分を残し、前記グラフェン・チャネルの前記露出部分にはジアゾニウム塩を含むp型のドーパントがドープされる、ゲート・コンタクトと
    を含む、装置。
JP2013510543A 2010-05-20 2011-04-26 グラフェン・チャネルに基づく装置およびその製作方法 Expired - Fee Related JP5719430B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/783,676 US8445320B2 (en) 2010-05-20 2010-05-20 Graphene channel-based devices and methods for fabrication thereof
US12/783,676 2010-05-20
PCT/EP2011/056581 WO2011144423A1 (en) 2010-05-20 2011-04-26 Graphene channel-based devices and methods for fabrication thereof

Publications (2)

Publication Number Publication Date
JP2013531878A JP2013531878A (ja) 2013-08-08
JP5719430B2 true JP5719430B2 (ja) 2015-05-20

Family

ID=44243209

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013510543A Expired - Fee Related JP5719430B2 (ja) 2010-05-20 2011-04-26 グラフェン・チャネルに基づく装置およびその製作方法

Country Status (9)

Country Link
US (4) US8445320B2 (ja)
JP (1) JP5719430B2 (ja)
KR (1) KR101419631B1 (ja)
CN (1) CN102893387B (ja)
DE (1) DE112011100907B4 (ja)
GB (2) GB2507686B (ja)
SG (1) SG184823A1 (ja)
TW (1) TWI497644B (ja)
WO (1) WO2011144423A1 (ja)

Families Citing this family (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8796668B2 (en) * 2009-11-09 2014-08-05 International Business Machines Corporation Metal-free integrated circuits comprising graphene and carbon nanotubes
KR20110098441A (ko) * 2010-02-26 2011-09-01 삼성전자주식회사 그라핀 전자 소자 및 제조방법
EP2655246B1 (en) * 2010-12-23 2014-10-22 Clean Energy Labs, LLC Methods for making graphene windows
US8409957B2 (en) * 2011-01-19 2013-04-02 International Business Machines Corporation Graphene devices and silicon field effect transistors in 3D hybrid integrated circuits
US8748871B2 (en) 2011-01-19 2014-06-10 International Business Machines Corporation Graphene devices and semiconductor field effect transistors in 3D hybrid integrated circuits
US8716863B2 (en) * 2011-07-13 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for high performance interconnect
US8895417B2 (en) * 2011-11-29 2014-11-25 International Business Machines Corporation Reducing contact resistance for field-effect transistor devices
US8772910B2 (en) 2011-11-29 2014-07-08 International Business Machines Corporation Doping carbon nanotubes and graphene for improving electronic mobility
US8633055B2 (en) * 2011-12-13 2014-01-21 International Business Machines Corporation Graphene field effect transistor
KR101946005B1 (ko) * 2012-01-26 2019-02-08 삼성전자주식회사 그래핀 소자 및 그 제조방법
US8680511B2 (en) 2012-02-09 2014-03-25 International Business Machines Corporation Bilayer gate dielectric with low equivalent oxide thickness for graphene devices
US9368581B2 (en) * 2012-02-20 2016-06-14 Micron Technology, Inc. Integrated circuitry components, switches, and memory cells
US8809153B2 (en) 2012-05-10 2014-08-19 International Business Machines Corporation Graphene transistors with self-aligned gates
US20140113416A1 (en) * 2012-06-28 2014-04-24 International Business Machines Corporation Dielectric for carbon-based nano-devices
US9276524B2 (en) * 2012-07-18 2016-03-01 International Business Machines Corporation High frequency oscillator circuit
US8816787B2 (en) 2012-07-18 2014-08-26 International Business Machines Corporation High frequency oscillator circuit and method to operate same
KR101919426B1 (ko) * 2013-01-08 2018-11-19 삼성전자주식회사 그래핀 전자 소자 및 그 제조 방법
US9490201B2 (en) * 2013-03-13 2016-11-08 Intel Corporation Methods of forming under device interconnect structures
KR20140118285A (ko) * 2013-03-28 2014-10-08 인텔렉추얼디스커버리 주식회사 환원된 그래핀 산화물을 이용한 변형 감지 소자 및 그 제조 방법
US8889475B1 (en) 2013-05-30 2014-11-18 International Business Machines Corporation Self-aligned bottom-gated graphene devices
KR101424603B1 (ko) 2013-09-10 2014-08-04 한국과학기술연구원 박막 트랜지스터의 제조 방법
KR102116978B1 (ko) * 2013-10-07 2020-05-29 삼성전자 주식회사 그래핀 소자 및 그 제조 방법
CN103840003B (zh) * 2014-02-21 2016-06-29 西安电子科技大学 以三氧化二铝为栅介质的双栅石墨烯晶体管及其制备方法
KR20150121590A (ko) * 2014-04-21 2015-10-29 이윤택 그래핀의 제조방법 및 그래핀 원자층이 식각되는 그래핀 제조방법 및 웨이퍼결합방법을 구비하는 그래핀 굽힘 트랜지스터, 및 그래핀 굽힘 트랜지스터
US10020300B2 (en) 2014-12-18 2018-07-10 Agilome, Inc. Graphene FET devices, systems, and methods of using the same for sequencing nucleic acids
US9857328B2 (en) 2014-12-18 2018-01-02 Agilome, Inc. Chemically-sensitive field effect transistors, systems and methods for manufacturing and using the same
US10006910B2 (en) 2014-12-18 2018-06-26 Agilome, Inc. Chemically-sensitive field effect transistors, systems, and methods for manufacturing and using the same
US9859394B2 (en) 2014-12-18 2018-01-02 Agilome, Inc. Graphene FET devices, systems, and methods of using the same for sequencing nucleic acids
US9618474B2 (en) 2014-12-18 2017-04-11 Edico Genome, Inc. Graphene FET devices, systems, and methods of using the same for sequencing nucleic acids
US10429342B2 (en) 2014-12-18 2019-10-01 Edico Genome Corporation Chemically-sensitive field effect transistor
CN104538453B (zh) 2014-12-29 2018-10-19 京东方科技集团股份有限公司 薄膜晶体管、阵列基板及其制造方法和显示器件
EP3054486B1 (en) 2015-02-04 2021-07-07 Nokia Technologies Oy A field-effect apparatus, associated apparatus and methods
EP3076436A1 (en) * 2015-03-30 2016-10-05 Nokia Technologies OY A method and apparatus for providing a transistor
KR102409390B1 (ko) * 2015-10-07 2022-06-15 삼성전자주식회사 그래핀 소자 및 그 동작 방법
US9882008B2 (en) * 2015-11-05 2018-01-30 Texas Instruments Incorporated Graphene FET with graphitic interface layer at contacts
US9881896B2 (en) 2015-12-17 2018-01-30 International Business Machines Corporation Advanced chip to wafer stacking
EP3206235B1 (en) 2016-02-12 2021-04-28 Nokia Technologies Oy Method of forming an apparatus comprising a two dimensional material
EP3206232A1 (en) * 2016-02-12 2017-08-16 Centre National de la Recherche Scientifique - CNRS - Method for obtaining a graphene-based fet, in particular a memory fet, equipped with an embedded dielectric element made by fluorination
JP6714390B2 (ja) * 2016-02-26 2020-06-24 住友電気工業株式会社 電子装置およびその製造方法
WO2017201081A1 (en) 2016-05-16 2017-11-23 Agilome, Inc. Graphene fet devices, systems, and methods of using the same for sequencing nucleic acids
US10032750B2 (en) * 2016-06-29 2018-07-24 International Business Machines Corporation Integrated DC-DC power converters through face-to-face bonding
JP6675945B2 (ja) * 2016-07-19 2020-04-08 住友電気工業株式会社 グラフェントランジスタおよびその製造方法
US10170702B2 (en) * 2017-01-12 2019-01-01 International Business Machines Corporation Intermetallic contact for carbon nanotube FETs
US10121743B2 (en) * 2017-03-29 2018-11-06 Qualcomm Incorporated Power distribution networks for a three-dimensional (3D) integrated circuit (IC) (3DIC)
JP7484674B2 (ja) 2020-11-18 2024-05-16 住友電気工業株式会社 トランジスタ
CN116569319A (zh) * 2020-12-10 2023-08-08 加利福尼亚大学董事会 Cmos兼容性石墨烯结构、互连体和制造方法
EP4391028A1 (en) 2022-12-23 2024-06-26 Graphenea Semiconductor S.L.U. Graphene device and method of fabricating a graphene device
GB2627306A (en) 2023-02-20 2024-08-21 Paragraf Ltd A method for the manufacture of a graphene-containing laminate
CN115985888B (zh) * 2023-02-23 2024-07-05 天津大学 一种由电容耦合互联得到的集成垂直器件及其制备方法

Family Cites Families (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6197663B1 (en) * 1999-12-07 2001-03-06 Lucent Technologies Inc. Process for fabricating integrated circuit devices having thin film transistors
US7015142B2 (en) * 2003-06-12 2006-03-21 Georgia Tech Research Corporation Patterned thin film graphite devices and method for making same
WO2005019104A2 (en) 2003-08-18 2005-03-03 President And Fellows Of Harvard College Controlled nanotube fabrication and uses
US6821826B1 (en) * 2003-09-30 2004-11-23 International Business Machines Corporation Three dimensional CMOS integrated circuits having device layers built on different crystal oriented wafers
JP2005285822A (ja) 2004-03-26 2005-10-13 Fujitsu Ltd 半導体装置および半導体センサ
US7180107B2 (en) * 2004-05-25 2007-02-20 International Business Machines Corporation Method of fabricating a tunneling nanotube field effect transistor
JP2005342937A (ja) 2004-06-01 2005-12-15 National Printing Bureau 印刷機用ローラ及びその製造方法
JP3963393B2 (ja) * 2005-03-01 2007-08-22 インターナショナル・ビジネス・マシーンズ・コーポレーション カーボンナノチューブ電界効果トランジスタ及びこれの製造方法
US7547917B2 (en) * 2005-04-06 2009-06-16 International Business Machines Corporation Inverted multilayer semiconductor device assembly
US7439731B2 (en) 2005-06-24 2008-10-21 Crafts Douglas E Temporary planar electrical contact device and method using vertically-compressible nanotube contact structures
US20060292823A1 (en) * 2005-06-28 2006-12-28 Shriram Ramanathan Method and apparatus for bonding wafers
US7619257B2 (en) * 2006-02-16 2009-11-17 Alcatel-Lucent Usa Inc. Devices including graphene layers epitaxially grown on single crystal substrates
JP5167479B2 (ja) 2006-06-13 2013-03-21 国立大学法人北海道大学 グラフェン集積回路の製造方法
JP4669957B2 (ja) 2007-03-02 2011-04-13 日本電気株式会社 グラフェンを用いる半導体装置及びその製造方法
WO2009023349A2 (en) 2007-05-25 2009-02-19 Kalburge Amol M Integrated nanotube and cmos devices for system-on-chip (soc) applications and method for forming the same
FR2916902B1 (fr) * 2007-05-31 2009-07-17 Commissariat Energie Atomique Transistor a effet de champ a nanotubes de carbone
KR101443215B1 (ko) 2007-06-13 2014-09-24 삼성전자주식회사 앰비폴라 물질을 이용한 전계효과 트랜지스터 및 논리회로
US7732859B2 (en) 2007-07-16 2010-06-08 International Business Machines Corporation Graphene-based transistor
US8659009B2 (en) 2007-11-02 2014-02-25 The Trustees Of Columbia University In The City Of New York Locally gated graphene nanostructures and methods of making and using
JP2009182173A (ja) * 2008-01-31 2009-08-13 Fujitsu Ltd グラフェントランジスタ及び電子機器
JP2009277803A (ja) * 2008-05-13 2009-11-26 Fujitsu Ltd 半導体装置、半導体装置の製造方法およびトランジスタ
US7897428B2 (en) 2008-06-03 2011-03-01 International Business Machines Corporation Three-dimensional integrated circuits and techniques for fabrication thereof
US8716805B2 (en) * 2008-06-10 2014-05-06 Toshiba America Research, Inc. CMOS integrated circuits with bonded layers containing functional electronic devices
US7952088B2 (en) 2008-07-11 2011-05-31 International Business Machines Corporation Semiconducting device having graphene channel
US8298914B2 (en) * 2008-08-19 2012-10-30 International Business Machines Corporation 3D integrated circuit device fabrication using interface wafer as permanent carrier
US7858989B2 (en) * 2008-08-29 2010-12-28 Globalfoundries Inc. Device and process of forming device with device structure formed in trench and graphene layer formed thereover
US7858990B2 (en) 2008-08-29 2010-12-28 Advanced Micro Devices, Inc. Device and process of forming device with pre-patterned trench and graphene-based device structure formed therein
US8198707B2 (en) * 2009-01-22 2012-06-12 Board Of Regents, The University Of Texas System Establishing a uniformly thin dielectric layer on graphene in a semiconductor device without affecting the properties of graphene
KR101156620B1 (ko) * 2009-04-08 2012-06-14 한국전자통신연구원 그라핀 채널층을 가지는 전계 효과 트랜지스터
US8895352B2 (en) * 2009-06-02 2014-11-25 International Business Machines Corporation Method to improve nucleation of materials on graphene and carbon nanotubes
US8106383B2 (en) * 2009-11-13 2012-01-31 International Business Machines Corporation Self-aligned graphene transistor
US8278643B2 (en) * 2010-02-02 2012-10-02 Searete Llc Doped graphene electronic materials
KR101813176B1 (ko) * 2011-04-07 2017-12-29 삼성전자주식회사 그래핀 전자 소자 및 제조방법
US8772910B2 (en) * 2011-11-29 2014-07-08 International Business Machines Corporation Doping carbon nanotubes and graphene for improving electronic mobility
KR101919424B1 (ko) * 2012-07-23 2018-11-19 삼성전자주식회사 트랜지스터 및 그 제조방법

Also Published As

Publication number Publication date
US8698165B2 (en) 2014-04-15
GB2493238A (en) 2013-01-30
US8445320B2 (en) 2013-05-21
GB201402301D0 (en) 2014-03-26
KR101419631B1 (ko) 2014-07-15
US20130234114A1 (en) 2013-09-12
GB201208558D0 (en) 2012-06-27
TW201207994A (en) 2012-02-16
CN102893387B (zh) 2015-02-25
US20130240839A1 (en) 2013-09-19
GB2493238B (en) 2014-04-16
WO2011144423A1 (en) 2011-11-24
US20130302940A1 (en) 2013-11-14
TWI497644B (zh) 2015-08-21
US8900918B2 (en) 2014-12-02
US8878193B2 (en) 2014-11-04
KR20130018735A (ko) 2013-02-25
GB2507686B (en) 2014-07-16
JP2013531878A (ja) 2013-08-08
GB2507686A (en) 2014-05-07
SG184823A1 (en) 2012-11-29
CN102893387A (zh) 2013-01-23
US20110284818A1 (en) 2011-11-24
DE112011100907B4 (de) 2017-11-23
DE112011100907T5 (de) 2013-01-03

Similar Documents

Publication Publication Date Title
JP5719430B2 (ja) グラフェン・チャネルに基づく装置およびその製作方法
US10505052B2 (en) Semiconductor device with transition metal dichalocogenide hetero-structure
US8455297B1 (en) Method to fabricate high performance carbon nanotube transistor integrated circuits by three-dimensional integration technology
TWI280661B (en) CMOS fabricated on different crystallographic orientation substrates
JP6931052B2 (ja) 半導体構造体を形成する方法および縦型トランスポートfet構造体
JP5870046B2 (ja) グラフェン・ベースの三次元集積回路デバイス
JP5801300B2 (ja) 背面放熱を伴う絶縁体上半導体
TWI524421B (zh) 半導體積體電路與其製造方法
TWI544589B (zh) Electronic device and its manufacturing method, and substrate structure and manufacturing method thereof
WO2014206117A1 (zh) 降低二维晶体材料接触电阻的方法和设置
US20240170545A1 (en) Airgap spacer and local interconnect configuration with direct backside contact
WO2011160466A1 (zh) 层间介质层及其制造方法、具有该层间介质层的半导体器件

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20140114

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140926

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20141007

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20141127

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20150303

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150320

R150 Certificate of patent or registration of utility model

Ref document number: 5719430

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees