JP5619026B2 - 電子パッケージ及び電子パッケージのプログラム可能ヒューズ式スルー・シリコン・ビアをプログラムする方法 - Google Patents

電子パッケージ及び電子パッケージのプログラム可能ヒューズ式スルー・シリコン・ビアをプログラムする方法 Download PDF

Info

Publication number
JP5619026B2
JP5619026B2 JP2011546640A JP2011546640A JP5619026B2 JP 5619026 B2 JP5619026 B2 JP 5619026B2 JP 2011546640 A JP2011546640 A JP 2011546640A JP 2011546640 A JP2011546640 A JP 2011546640A JP 5619026 B2 JP5619026 B2 JP 5619026B2
Authority
JP
Japan
Prior art keywords
chip
functional circuit
switch
programmable fuse
silicon via
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2011546640A
Other languages
English (en)
Other versions
JP2012516042A (ja
Inventor
ワン、ピンチュアン
フェン、カイ、ディ
スー、ルイス、ルーチェン
ヤン、ジジアン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2012516042A publication Critical patent/JP2012516042A/ja
Application granted granted Critical
Publication of JP5619026B2 publication Critical patent/JP5619026B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C5/00Details of stores covered by group G11C11/00
    • G11C5/06Arrangements for interconnecting storage elements electrically, e.g. by wiring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/525Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections
    • H01L23/5256Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body with adaptable interconnections comprising fuses, i.e. connections having their state changed from conductive to non-conductive
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05005Structure
    • H01L2224/05009Bonding area integrally formed with a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05117Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05124Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05138Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05147Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/05001Internal layers
    • H01L2224/05099Material
    • H01L2224/051Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05163Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05184Tungsten [W] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/0557Disposition the external layer being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05571Disposition the external layer being disposed in a recess of the surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05617Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 400°C and less than 950°C
    • H01L2224/05624Aluminium [Al] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05638Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than or equal to 950°C and less than 1550°C
    • H01L2224/05647Copper [Cu] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/05599Material
    • H01L2224/056Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof
    • H01L2224/05663Material with a principal constituent of the material being a metal or a metalloid, e.g. boron [B], silicon [Si], germanium [Ge], arsenic [As], antimony [Sb], tellurium [Te] and polonium [Po], and alloys thereof the principal constituent melting at a temperature of greater than 1550°C
    • H01L2224/05684Tungsten [W] as principal constituent
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/07Structure, shape, material or disposition of the bonding areas after the connecting process
    • H01L2224/08Structure, shape, material or disposition of the bonding areas after the connecting process of an individual bonding area
    • H01L2224/081Disposition
    • H01L2224/0812Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding
    • H01L2224/08135Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/08145Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • H01L2224/08146Disposition the bonding area connecting directly to another bonding area, i.e. connectorless bonding, e.g. bumpless bonding the bonding area connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked the bonding area connecting to a via connection in the body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06527Special adaptation of electrical connections, e.g. rewiring, engineering changes, pressure contacts, layout
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/03Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/02Bonding areas ; Manufacturing methods related thereto
    • H01L24/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L24/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13091Metal-Oxide-Semiconductor Field-Effect Transistor [MOSFET]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/30Technical effects
    • H01L2924/301Electrical effects
    • H01L2924/3011Impedance

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Integrated Circuits (AREA)

Description

本発明は、ヒューズ式スルー・シリコン・ビア(TSV:through silicon via)構造を有する3Dチップ・スタックに関し、さらに具体的には、半導体ダイを貫通して垂直に電気信号を搬送するために用いるプログラム可能ヒューズ式TSV構造を有する3Dチップ・スタックに関する。
半導体チップおよびウエハなどの電子デバイス、または半導体チップ・キャリアのパッケージングにおける、次段のパッケージング・レベルへの垂直相互接続は、チップ・キャリアへの接続または積み重ねチップへの接続いずれもスルー・シリコン・ビア(TSV)によって達成することができる。TSVを生成するためのさまざまな技法が知られている。積み重ねチップは、多階層のチップ構造であり、時として3Dチップ・スタックといわれ、ダイからダイへの信号送信距離の短縮を可能にし、ダイの間で設定できるリンク数の大幅な増加を可能にする。
TSV構造を使った3Dチップ・スタックによって提供される、かかる小型パッケージは、携帯電話、デジタル・カメラ、PDA、GPS、ラップトップ・コンピュータ、および類似装置など、さまざまな用途に対する高い需要がある。こういった用途の引き続く成長の中で、パフォーマンスを向上し、機能を拡大し、コストを低下させ、パッケージング密度を増大するための継続的努力が求められている。
かかる構造に伴う難事の一つに、3Dスタックを組み上げる際に、チップの間の相互接続を、あらかじめ作製されたTSVによって形成することがある。結果的に、これらのTSVの状態は一旦組み上げられると変えることができない。しかしながら、修理、プログラミング、状態の変更、および経路切り替えのため、当初短絡されたTSVラインをオープンできる能力を備えることが望まれる。
本発明の実施形態による方法および装置は、当初は閉状態のまたは短絡されているチップ間のTSVリンクを、例えばプログラム制御回路を介してオープンすることを可能にする、プログラム可能構造を提供する役割をする。
本発明の実施形態は、一般に、チップ構成のためのプログラム可能ヒューズ式TSVを対象としている。プログラム可能ヒューズ式TSVを備えた積み重ねチップを用いることができ、加えさらなる構造を設けて、例えば、チップの中のTSVを選択的にオープンするため協調的に作動し、相隣り合うチップ中に配置することが可能な制御回路を介して、該プログラム可能ヒューズ式TSVをプログラムすることができる。チップには、プログラム可能ヒューズ式TSVおよび不変のすなわち非プログラム型のTSVの両方を含めることができる。
本発明のある実施形態において、ある電子パッケージは、他の電子回路構造へのチップ相互接続のための少なくとも一つのプログラム可能ヒューズ式TSVを有する、少なくとも一つのチップを含む。
該電子パッケージの他の態様において、
プログラム可能ヒューズ式TSV構造は、上記少なくとも一つのTSV構造のビアの一部内に形成された、ビア開口中の導電材料の断面が縮小された部域を含み、
該プログラム可能ヒューズ式TSV構造は、該少なくとも一つのTSV構造のビアの一部内に形成された、ビア開口中の導電材料の縮小された断面を通り流れる電流を制御する制御回路によって、低インピーダンス状態から高インピーダンス状態にプログラムされ、
電流の流れは、互いに積み重ねられた少なくとも2つのチップの各々に形成された制御回路によって制御され、
該少なくとも2つのチップの各々の中の機能回路群は、プログラミング・オペレーションの間、分離回路によって制御回路から切り離され、
プログラミング・オペレーションの間、該少なくとも2つのチップの一つの中の制御回路は、該少なくとも一つのTSV構造のビアの一部内に形成された、ビア開口中の導電材料の縮小された断面に入る電流の流れレベルを制御し、ヒューズ式構造が低インピーダンス状態から高インピーダンス状態に移行したときを検知し、および
プログラミング・オペレーションの間、該少なくとも2つのチップの他方の中の制御回路は、該少なくとも一つのTSV構造のビアの一部内に形成された、ビア開口中の導電材料の縮小された断面から出る電流の流れを制御する。
別の実施形態において、TSVによって相互接続された、スタックされた複数のチップが提供され、該TSVの少なくとも一部は、プログラムされない状態において一つのインピーダンス値を提供し、プログラムされた状態では、相隣接するチップにまたがって配置されたプログラミング制御回路によって導入される、ヒューズ式構造を通り流れる十分な電流に反応して、別のインピーダンス値を提供するヒューズ式構造、によってプログラムが可能である。
さらなる別の実施形態において、
導電材料の縮小された断面の部域を有する少なくとも一つのTSVを設けるステップと、
導電材料の縮小された断面の部域を有する該少なくとも一つのTSVの一端中に十分なレベルの電流を供給し、導電材料中にボイドを生じさせて高インピーダンス状態を生成させるステップと、
導電材料の縮小された断面の部域を有する該少なくとも一つのTSVの他方端から出る電流を制御するステップと、
該少なくとも一つのTSVが、高インピーダンス状態にプログラムされたときを検知し、該少なくとも一つのTSVから電流を除去するステップと、
によって、チップ・スタック中のチップを相互接続しているプログラム可能TSVをプログラムする方法が提供される。
プログラム可能TSVをプログラミングする方法の他の態様は、
少なくとも一つのTSVに一端中に電流を供給するステップは、チップ・スタックの一つのチップを介して供給がされ、該少なくとも一つのTSVの他方端から出る電流を制御するステップは、チップ・スタックの別のチップを介して制御がされる、ステップと、
少なくとも一つのチップおよび別のチップの中の機能回路群を、少なくとも一つのTSVの一端中に電流を供給して該少なくとも一つのTSVの他方端から出る電流を制御するステップから、切り離すさらなるステップと、
を含む。
さらなる実施形態において、チップの少なくとも一つのスルー・シリコン・ビアをプログラミングするための制御回路を含め、チップ上にデバイス群および回路群を形成するステップと、少なくとも一つのTSVをプログラミングする制御回路を相互接続するための誘電体表面のメタライゼーション(metallization)を含め、デバイス群および回路群を相互接続するメタライゼーションおよび誘電体を形成するステップと、チップを他の電子装置と垂直方向に相互接続するための導電TSVをチップ中に形成するステップと、導電TSVの導電材料の縮小された断面積の部域を含む少なくとも一つの導電TSVのビア内にプログラム可能材料を形成して、プログラム可能TSVを形成するステップと、プログラム可能材料、および上記プログラム可能TSVをプログラミングするための制御回路、の間に導電接続を形成するステップと、によってチップ中にプログラム可能TSVを作製する方法が提供される。
チップ中にプログラム可能TSVを作製する方法のさらなる態様は、
導電材料の縮小された断面の部域を含むプログラム可能材料が、絶縁材料のビア側壁スペーサを設けることによって形成され、
該側壁スペーサは、少なくとも一つの導電ビアのビア内の導電材料の一部を除去し、チップの活性表面に凹部を形成するステップ、
凹部中に絶縁材料の層を堆積するステップ、および
該絶縁材料を方向性ドライ・エッチングして、絶縁材料中の底部域に狭小化された開口を形成するステップ、
によって形成される、上記プログラム可能材料が形成されるステップと、
制御回路が、別のチップのデバイス群および回路群内に形成された追加制御回路と、相補的に構成されるステップと、
該別のチップ中の追加制御回路から、該別のチップのメタラジー(metallurgy)の層中の表面コンタクト・パッドへの電気接続が形成されるステップと、
該チップと該別のチップとは相互に積み重ねられ、該別のチップの表面コンタクト・パッドが、チップのプログラム可能TSVに電気的に接続されるステップと、
上記狭小化された開口が導電材料で充填され、導電材料の縮小された断面の部域が形成されるステップと、
導電材料が開口を越えて、誘電体の表面の上に誘電体表面のメタライゼーションへと延び出して、プログラム可能材料と、プログラム可能TSVをプログラミングするための制御回路と、の間の導電接続の少なくとも一部を形成するステップと、
を含む。
積み重ねられたチップの対を示し、その一つはプログラム可能ヒューズ式TSV構造を含む。 基板工程で形成されるデバイスおよび回路を含む第一層、および配線工程で形成されるメタライゼーションを含む第二層を有するチップを示す。 図2のチップ中に形成されたビアを示す。 絶縁材料の共形層と導電材料で充填されたビアとで形成された導電TSVを有する図3のチップ構造を示す。 TSVの一部がエッチ・バックされてTSV構造中に凹部が形成された、図4のチップ構造を示す。 凹部中に形成された側壁スペーサを有する、図5のチップ構造を示す。 TSVと位置合わせして誘電体中に形成された開口を有する、図6のチップ構造を示す。 誘電体の開口内に形成されたコンタクト・パッドを有する、図7のチップ構造を示す。 裏側からのウエハ薄削により得られた、図8のチップ構造を示す。 互いに積み重ねられたチップの対を示す。 ヒューズ式構造がどのように作動するかを示す。 いくつかのチップがプログラム可能ヒューズ式TSVと非プログラム型TSVとを有するチップ・スタック構造の概要図を示す。 積み重ねられたチップ対上の、プログラム可能ヒューズ式TSVおよび該ヒューズ式TSVをプログラムするため使えるコンポーネントの概念図を示す。 積み重ねられたチップ対上の、ヒューズ式TSVをプログラムするため使える、ヒューズ・プログラミングおよび制御回路の概略回路図を示す。 図14のプログラミングおよび制御回路のオペレーションを制御するため使用される一連の電圧波形を示す。
図1を参照すると、積層チップ構造3のある実施形態が示されている。2つのチップが示されているが、2を上回る数のチップを該チップ・スタックに積み重ね可能なことは明白である。さらに、ウエハ段階でこのような構成が可能であろうことも明らかである。チップ1および2の両方とも導電スルー・シリコン・ビア(TSV)を含み、チップ1は、非プログラム型すなわち従来型のTSV5と、プログラム可能ヒューズ式TSV7とを有する。チップ2は、2つの非プログラム型TSV9および10を含む。これらTSVはスルー「シリコン」ビアとして設定されているが、これらビアを、シリコン以外の材料にも同様に設けることができるのは明らかである。また、チップ中に追加のTSVを含めることが可能なのも明白である。
一般に、かかるチップは、基板工程(FEOL(front end of line)processes)を用い、チップ1およびチップ2それぞれの層11および13に標準的なデバイス群および回路群を形成し、ウエハ・レベルで作製することができる。次いで、配線工程(BEOL(back end of line)processes)を用い、相互接続および誘電体をチップ1およびチップ2それぞれの層15および17に形成することができる。層15および17には、後記でさらなる詳細を説明する、プログラム制御回路に接続する金属コンタクト19および21が包含される。便宜上のため、コンタクト19および21だけが、デバイスを包含する回路群の一部として特に示されており、該回群はFEOL層11および13の中に形成されているが図示はされていない。このプログラミング制御回路は、FEOL工程において形成することができ、後記で説明するように、プログラム制御回路の一部をチップ1に置き、プログラミング制御回路の他の部分をチップ2に置いて、相補的にすることができる。コンタクト19および21は、プログラミング制御回路に加えて、FEOL工程において形成されたチップ機能回路にもつながっている。しかして、コンタクト19および21は、FEOL層11および13中の双方の種類の回路をTSV金属コンタクト22および24に相互接続する役割をする。
プログラミング制御回路は、ヒューズ構造23を低インピーダンスまたは閉状態から高インピーダンスまたはオープン状態に移行させることによって、プログラム可能ヒューズ式TSV7のプログラミングを制御するよう設計されている。通常、プログラミング制御回路は、機能回路、すなわち、ロジック、メモリ、および類似機能などチップのオペレーションのため設計された回路、とは分離されることになる。チップ1および2中のコンタクト26および28は、それぞれFEOL層11および13中の機能回路を、それぞれの非プログラム型TSV5および9に相互接続している。
ヒューズ式構造23は、さまざまなヒューズ式構造の任意のものとすることができる。用いることのできるヒューズ式構造の中に、ビア導体の導電断面積を狭小化するための側壁スペーサを有するビア内に配置された、メタライゼーション・ベースで一度だけプログラム可能な電気的ヒューズ構造を含むものがある。かかる構造は、図1のヒューズ式構造23によって代表される。
しかして、図1に示されるように、導電TSV7、10、5、および9にそれぞれつながるコンタクト22、24、29、および31の形成を可能にするように配置された凹部、を有する絶縁層25および27が、チップ1およびチップの中に形成される。ヒューズ式構造23がTSV7の凹部中に形成され、コンタクト22とTSV7の導電材料8とを相互接続させる。
図2を参照すると、シリコン層51上に層35を備えて作製されたチップ構造41が示されている。層35は、さまざまなFEOL工程の任意のものによって作製された、デバイスおよび回路構造を含む。層35の上に形成された層37は、さまざまなBEOL工程の任意のものによって作製された金属相互接続部および誘電体層を含む。
チップのパフォーマンスために形成された機能回路に加え、プログラム可能ヒューズ式TSVのプログラミングを制御するため、層35中に、プログラミング制御および検知回路並びに電源回路を作製することができる。同様に、この制御回路のための相互接続部が、このチップのプログラミング制御回路につながれたコンタクト39および40の一つまたは両方の上に、金属を使って層37中に形成される。また、金属コンタクト39および40は、チップの機能回路にも接続される。チップ構造の上に上部保護層43および下部保護層45が形成される。
本明細書では、チップ・レベルでの作製について説明しているが、さまざまなチップ構造を作製するため用いられるこれら工程がウエハ・レベルでも実施可能であることは理解されよう。通常は、例えば図9を参照して説明するように、ウエハ薄削の後で、ウエハがチップにダイスされることになろう。
保護層43および45は、従来式の堆積処理によって形成される酸化物または窒化物の層あるいはその両方など、さまざまな保護材料の任意のものとすることができる。図3を参照すると、例えば、次いでフォトレジスト・マスク(図示せず)を使って、上部保護層43、BEOL層37、FEOL層35、およびシリコン層51中をエッチングしてTSV47および49の開口ができる。
TSV47および49の開口は、例えば1〜100μmの直径、および20〜200μmの深さの円形とすることができる。ここでは、例えば、深堀り反応性イオン・エッチ(RIE:reactive ion etch)方法を用いてTSV47および49の開口を形成することができる。しかして、当技術分野で周知の、プラズマ中に発生するフッ素ラジカルによる深堀りシリコン・エッチングを用いることができる。図3に示されるように、エッチングは、エッチ停止点としての役割をする保護層45において終了する。ただし、シリコン層51中の他の選択点でエッチングを止めて、後記で説明するように、シリコン体への背面研磨を用いてTSV47および49の開口を露呈することが可能なのはいうまでもない。
TSV47および49の開口を形成した後、絶縁材料の共形層がチップ構造41上に堆積され、チップの表面と、TSV47および49の開口の側壁面および底面とを覆う。絶縁材料の共形層をエッチ・バックして、保護層43の表面から該材料を除去し、TSV47および49の開口の側壁面および底面上には、絶縁ライナ53として絶縁材料の層を残すことができる。上記に換えて、次のメタライゼーション・ステップを使って、保護層43の表面から絶縁材料の層を除去することもできる。これは図4に示されている。絶縁材料のライナ53は、酸化物、窒化物、TEOS、PSG、および類似物の任意のものとすることができる。共形層は、ビアまたは深溝の側壁に沿って絶縁膜の厚さが十分に均一になるように堆積される。この被覆は、化学気相堆積(CVD:chemical vapor deposition)は一般に良好な共形性を与えるので、CVDなどのさまざまな既知の堆積技法を用いて実施することができる。
図4にさらに示すように、絶縁材料のライナ53を形成した後、Al、Cu、W、またはCuドープされたAlなどの金属の層がチップ構造41上に堆積され、エッチされたTSV47および49の開口が充填される。該充填は、TSV開口にボイドを残さないように実施される。例えばCVDおよび電気メッキを含め、ビアを均一に充填するようにしてチップ構造上に金属を形成するための、周知のさまざまな堆積技法がある。
金属の層をチップ構造41上に堆積しTSV47および49の開口を充填した後、必要以上の金属および絶縁材料(残存する場合)を、チップ構造41の上面から保護層43に至るまで除去することができる。これは、化学機械研磨(CMP:chemical−mechanical polishing)処理を用いて行うことができ、該処理は保護層43の上面で停止され、該層はCMPエッチ停止層としての役割をする。かくして、表面に露出された導電体55を形成する金属充填されたTSV47および49を残して、チップ構造41の最上面から金属の層および絶縁材料の層の両方を除去することができる。
図5に示されるように、次いで、レジストの層または接触マスク57がチップ構造41の最上面に形成される。該マスクは、TSV47の上方に開口59を形成するようパターン取りされたフォト・レジスト・マスクとすることができる。該開口は、図示のように充填済みTSV47の幅を超えて拡大するか、あるいはTSVの開口の断面と同じ大きさとすることができる。
次に、RIE処理を用いて金属充填されたTSV47中の導電体55をエッチ・バックし、図5に示すように、TSV47中に凹部60を形成することができる。該エッチ処理は、凹部60を1〜10μmの深さに形成するようなタイミングで制御される。図示はしていないが、エッチ処理では、BEOL層37から、絶縁材料の共形層53の堆積によって形成された全ての絶縁材料を除去するのに加え、該堆積により形成された側壁の誘電体の一部を除去することができる。なお、該エッチ処理では、真空を破ることなく、そのままいくつかのステップを実施することもできる。金属、共形層、誘電体などを含め、いくつかの異なる除去対象の材料層があるので、この場合、各材料層を別々のステップでエッチすることができる。エッチングの後、レジスト層57は除去される。
図6および図7は、例えば図1に示されたような、TSVヒューズ式構造を形成するために用いることが可能な処理を示す。しかしながら、この目的のため、さまざまなヒューズ式構造の任意のものを使うことができる。かかる構造を形成するため、図6に示されるように、チップ構造41上に絶縁材料のブランケット層が堆積され、保護層43の表面が覆われ、凹部60内に共形に堆積される。この材料を、例えばSiまたはSiOとすることができよう。次いで、物理的スパッタリングなどの方向性ドライ・エッチングを用いて、保護層43の表面および凹部60の底面から絶縁層を除去し、凹部の壁上にテーパした側壁スペーサ61を残すことができる。例えば、ビア開口が円形の場合、スペーサも円形となる。
かく形成されたスペーサまたはスペーサ群は、ビア開口の断面積を限定または縮小する役割をする。この狭められた開口62はネック部域とも呼ばれ、かくしてビア47に充填可能な金属の断面を限定または縮小する役割をする。プログラミングの過程で、ネック部域に電流集中効果が生じ、電子風によって金属材料が移動され、ボイドまたは開口がもたらされることになる。図11に示すように、このボイドは、電子流の方向如何によってネック近辺のいろいろな部域に生じ得る。目的は、プログラミングの後、接続をオープンすることである。
図7および8は、側壁スペーサ61で狭小化された開口中の導電材料およびチップの表面の導電コンタクト・パッドを形成するステップを示す。図7において、誘電体層63が保護層43の上に堆積される。次いで、レジスト層65が誘電体層の上に堆積され、次に、ビア47および49の上方に開口67および69を形成するためパターン取りされる。次いで、例えばRIEなどのエッチングによって、誘電体層63および保護層43中に開口67および69が生成される。エッチングが保護層43全体を貫通するとRIE処理は停止され、コンタクト39および40が露出する。このエッチング処理は、金属コンタクト39、40、およびビア構造55などの材料がエッチされないよう選択的に行われる。
図に示されてはいないが、保護層43、ライナ53、およびスペーサ61が同一材料であれば、エッチングでは、ライナ53および側壁スペーサ61を、BEOL層37の表面レベルまで低減することができる。ただし、このことがヒューズ式構造のオペレーションに影響することではないであろう。また一方、これら材料が相異なる場合は、選択的エッチングによってこれら材料を適切に残しておくことができよう。
図8に示されるように、レジスト層65の除去の後、誘電体層63の開口67および69中に電気コンタクト・パッド71および73が形成される。これは、チップ構造41上に、開口67および69を充填するのに十分な金属の共形層を堆積し、次いで、化学機械研磨によって、誘電体絶縁層63の表面上の金属を除去して凹部開口67および69中にコンタクト・パッド71および73を形成することによって達成することができる。これに換えて、例えば、金属をパターン取りするためのレジスト層65を使い、パターン取りされた金属のブランケット層を、開口67および69に堆積して、コンタクト・パッド71および73を形成することもできる。図8に示されるように、この堆積は、金属コンタクト39および40への電気的接続を生成するためのものである。凹部67および69に堆積される金属に加えて、金属は、同時に、凹部60の、側壁スペーサ61によって狭小化された狭い開口または部域62にも堆積される。
しかして、図8に示されるように、絶縁層63中の陥凹開口67および69は金属で充填され、これが凹部中にコンタクト71および73を形成し、金属コンタクト39および40の上にも延びている。さらに、堆積された金属は、側壁スペーサ61によって狭小化された狭い開口または部域62にも延び下って、TSV47中の導電材料55と電気コンタクトを生成している。前述のように、使用される金属は、望ましくは、TSV47および49、並びに回路コンタクト39および40に使われる金属と同一種類に相当する。しかして、例えば、これらTSVおよびコンタクトが、Al、Cu、W、またはCuドープされたAlのいずれか一つで充填されている場合、コンタクト71および73を形成するためここで通常選択される金属はそれと同一の材料となろう。
図9に示されるように、次いで、裏側からのシリコン51のウエハ薄削を行ってチップ構造41を薄くすることができる。先の各図で示されたTSVは、保護層45まで延びており、しかしてこういった場合、薄削は、TSV中の金属55を露呈させるため、TSV底部の保護層45および絶縁ライナ材料53除去を必要とする。TSVの延びがチップ層51の厚さより短い場合には、TSVを露出させるためにさらなるシリコンの除去が必要なことになる。典型的には、薄削の後、チップ層の厚さは、20μm〜200μmの範囲となろう。また、シリコン薄削は、前述したプログラム可能TSVを形成する工程より前に実施することもできる。
シリコン薄削は、図9の矢印で図示されるように、例えば、背面研磨またはTMAエッチあるいはその両方を用いて実施することができる。
ウエハ薄削の後、得られた、ヒューズ式プログラム可能TSV47を有するチップは、プログラム可能ヒューズ式TSVを有する、または有さない他のチップに積み重ねることができる。図10は、かかる積み重ねられた2つのチップを示す。チップ1は、ヒューズ式プログラム可能TSV47と、標準または非プログラム型のTSV49とを包含する。チップ1上にスタックされたチップ2は2つの非プログラム型TSV77および79を包含する。通常、これら2つのチップは、ヒューズ式構造を作るための用いられたステップを除き、一般に同一の材料および作製工程を用いる。
チップ2は、接着剤なしのアプローチを使ってチップ1に接合することができ、室温における共有結合が用いられる。これに換えて、接着剤、圧力、または熱、あるいはこれらの複数を利用する接合技法を用いることもできる。
図10にさらに示されているように、BEOL層83中の金属コンタクト81は、FEOL層85中のプログラミング制御回路および機能回路につながっている。また、金属コンタクト81は、金属コンタクト87にも接続されており、後者は、コンタクト89とともに、チップ1中の金属コンタクト71および73を形成するため使われたのと同様な、ダマシン処理によって形成することができる。図示のように、金属コンタクト87はプログラム可能TSV47に接合されている。前に指摘したように、チップ1中の金属コンタクト39は、FEOL層35中のプログラミング制御回路および機能回路の両方に接続されている。しかして、プログラミング制御回路は、プログラム可能TSV47の両端に接続されている。
再度、図10を参照すると、チップ2中のTSV79がチップ1のTSV49の上に積み重ねられている。しかして、この2つのチップの間で、非プログラム型の垂直相互接続が形成されている。他方、プログラム可能TSV47は、遮断をプログラムされたときにだけ、2つのチップの間の接続を遮断する。チップ1および2の上に、堆積されたチップの全てが任意の数の非プログラム型TSVとプログラム可能ヒューズ式TSVとのいろいろな組合せを持つようにして、他のチップ群を積み重ねることが可能のは自明のことである。
図11は、プログラム可能ヒューズ式TSV47が、閉状態または低インピーダンス状態からオープンまたは高インピーダンス状態に移行するようプログラムされたときに、作動する仕方を示す。チップ1および2のFEOL層35および85中のプログラミング制御回路は、電子流が、チップ2のコンタクト81に流入し、金属コンタクト87を通り、チップ1のTSV47に入り、次いでヒューズ式構造23を通ってコンタクト71およびコンタクト39に流れるように作動し、コンタクト39はチップ1中のプログラミング制御回路に接続されている。この流れは、狭い開口またはネック部域62および金属コンタクト71中にエレクトロマイグレーションを生じさせ、側壁スペーサ61のネック部域の近辺に図示のようなボイドを生成させる。前述したように、該ヒューズは双方向性のプログラム可能ヒューズである、すなわち、ヒューズをオープンにするため電流はいずれの方向から流れてもよい。図11に示されるように、このボイドはオープン回路を生成し、しかして電流の流路を遮断する。スペーサ61について説明したが、ビアが円形断面の場合、スペーサ61が、円形ビアの内壁を周る切れ目のないスペーサであろうことは明らかである。
図12は、少なくとも一部のチップが、プログラム可能ヒューズ式TSVと非プログラム型TSVとを有する、チップ・スタック構成の概要図を示す。5つのチップのスタックが示されているが、これは説明目的のためだけのもので、前述したように、プログラム可能ヒューズ式TSVおよび非プログラム型TSVを有するチップの任意の組合せが可能である。例えば、チップ1は、88および90で示される2つのヒューズ式TSVを有する。他方、チップ3には、この方が典型的ではあろうが、ヒューズ式TSVはない。これも前述したように、TSVのプログラミングは、各チップに備えられた相補的回路を有するチップの対にまたがって行われる。しかして、図12に見られるように、例えば、点線のブロック91内のプログラム可能TSV89は、チップ5内のプログラミング制御回路93とチップ4内のプログラミング制御回路95とを有する。同様なプログラミング制御回路スキームが、プログラム可能ヒューズ式TSV88、90、および101に対しても示されている。プログラム可能ヒューズ式TSV89の上方および下方のTSV103、105、107、および109は、例えば、チップ1、2、および3中に図示されるように、直接接続を行う従来式の非プログラム型TSVであるのが明らかである。同様な直接接続が、例えば、プログラム可能ヒューズ式TSV88の上方の直接接続型TSVなど、他のラインにも示されている。
図13は、積み重ねられたチップ対上の回路構成の概念図を示す。チップ1は、プログラム可能TSVヒューズ111、検知回路113、機能回路115、およびプログラミング回路117を含む。チップ2は、検知回路119、機能回路121、およびプログラミング回路123を含む。スイッチ125および127は、機能回路をプログラミングおよび検知回路から切り離すための分離スイッチとして機能する。各チップのプログラミングおよび検知回路は、ともに合わさってTSVヒューズ111をプログラムするため作動する。プログラミング回路117および123は、スイッチ118および120を閉じることによって、TSVヒューズ111に十分な電流を通過させ、これにより、チップ1とチップ2との間に不揮発性のオープン回路をもたらし、しかして、TSVヒューズ111をプログラムするよう作動する。検知回路は、TSVヒューズ111がプログラムされたときを判定し、しかして、スイッチ118および120を開き電流源を切断する。
図14には、チップの対にまたがる、ヒューズ式TSVのプログラミング実施に対するさらに詳細な回路実施形態が示されている。チップ1中のプログラム可能TSVヒューズ111は、チップ2中のXノードとチップ1中のYノードとの間につながれている。TSVヒューズ111は、TSV88など、図12のプログラム可能TSVのいずれであってもよい。図15のタイミング波形に示されるような、相補的プログラミング電圧信号、「PROA」および「PROB」が、プログラミング・ブロック130および132内のそれぞれの相補型スイッチ129および131に印加される。スイッチ129をnMOSFETとし、131をpMOSFETとすることができる。これらの電圧は、これらスイッチを閉じ、電流源133から電流がTSVヒューズ111を通ってアースに流れることを可能にするよう作動する。
同時に、電圧信号「PROA」が相補的p型スイッチ135およびn型スイッチ137に印加され、チップ2の機能回路139が、ブロック132中のプログラミング回路から切り離される。ブロック141中に備えられた類似の回路が、チップ1中の機能回路をブロック130中のプログラミング回路から切り離す。
図11に関連して説明したように、TSVヒューズ111が十分な電流を受電し、ボイドおよびオープン回路が生じると、ノードXにおける電圧レベルが増大し、コンパレータ143は、制御信号CHECKBがロジック低に設定されているとき、Xノードにおける電圧増大を検知し、ノードXにおける電圧がVth(Vthは事前設定された閾値電圧)を超えると、ロジック高の出力信号を供給する。このオペレーションは、図15に示されるように、「CHECKB」電圧がロジック低レベルのパルスに移行することによって行われる。このパルスは、p型FET144のゲート電極に印加され、該FETをオンにし、ノードXの電圧レベルをコンパレータ143の正入力に接続する。TSVヒューズ111がプログラム完了すると、その抵抗値は高くなり(例、500オーム以上)ノードXの電圧は、コンパレータ143の負入力のVthよりも高くなり、これによって、ヒューズ構造111が成功裏にプログラムされたことを表す、ロジック高のコンパレータ出力信号を生成する。図15に示されるように、「PROA」信号が低位になると、「PROB」信号は高位になり、CHECKB信号も高くなって、プログラミング・オペレーションは完了する。
図11のコンタクト構造71などのコンタクト構造中の金属が電気泳動し、ボイドおよびオープン回路をもたらしたとき、TSVヒューズ111がオープンになることに留意する。これは、十分に高い電流が該構造の両端に印加された結果によるものである。しかして、チップ1および2中の相補的プログラミング制御回路は十分に高い電流を印加し、図11に示されるように、TSVヒューズの側壁スペーサ61の間の狭小な電流流路と金属コンタクト71との間にオープン回路をもたらすよう作動する。相補的プログラミング制御回路が2つのチップの間で分担されるとして説明しているが、相補的プログラミング制御回路の一部をヒューズ型TSVと同伴する一つのチップに置き、かかる相補的プログラミング制御回路の他の部分を、チップ以外の電子装置に配置することが可能であろうことはいうまでもない。
本明細書で用いた用語は、特定の実施形態を説明するためだけのものであって、本発明を限定することは意図するものではない。本明細書での使用において、単数形「ある(a、an)」、および「該(the)」は、文脈が明瞭に示している場合を除き、複数形も同様に包含することが意図されている。本明細書で用いられた用語「含む(comprise)」または「含む(comprising)」あるいはその両方は、言及された特質、整数、ステップ、オペレーション、エレメント、またはコンポーネント、あるいはこれらの複数の存在を特定するが、他の特質、整数、ステップ、オペレーション、エレメント、コンポーネント、またはこれらの群の一つ以上の存在または追加を除外するものではない。
後記の請求項中の全ての手段、ステップまたは機能エレメントの対応構造、材料、処置、および同等物は、具体的に請求されている他の請求要素と併せ、上記機能を遂行するための一切の構造、材料、または処置を含むと意図されている。本発明の記述は、例示および説明目的で提示されたものであり、網羅的であることまたは本発明を開示した形態に限定することを意図するものではない。当業者には、本発明の範囲から逸脱することなく多くの修改および変形が可能なことは自明であろう。これら実施形態は、本発明の原理および実際的応用を最善に説明し、他の当業者が、意図する特定の用途に適したさまざまな修改を加えたさまざまな実施形態のため、本発明が理解できるように、選択され記述された。

Claims (13)

  1. 第1チップの複数のスルー・シリコン・ビアのそれそれに第2チップの複数のスルー・シリコン・ビアが1つずつ接続されている電子パッケージであって、
    前記第1チップの前記複数のスルー・シリコン・ビアのうち少なくとも1つであるプログラム可能ヒューズ式スルー・シリコン・ビアであって、該ビア内の導電材料の一部の断面が縮小されているヒューズ式構造を有する前記プログラム可能ヒューズ式スルー・シリコン・ビアと、
    前記第1チップに設けられ、プログラミング・オペレーションの間、前記プログラム可能ヒューズ式スルー・シリコン・ビアの一端をアース電位に接続する第1プログラミング・ブロックと、
    前記第2チップに設けられ、前記プログラミング・オペレーションの間、前記プログラム可能ヒューズ式スルー・シリコン・ビアの他端を電流源に接続すると共に、前記プログラム可能ヒューズ式スルー・シリコン・ビアの低インピーダンス状態から高インピーダンス状態への切り替わりを感知する第2プログラミング・ブロックと、
    前記第1チップ内に設けられ、前記プログラム可能ヒューズ式スルー・シリコン・ビアの前記一端に接続され、前記第1チップのオペレーションを行う第1機能回路であって、前記プログラミング・オペレーションの間、前記第1機能回路のための電源及びアース電位から切り離される前記第1機能回路と、
    前記第2チップ内に設けられ、前記プログラム可能ヒューズ式スルー・シリコン・ビアの前記他端に接続され、前記第2チップのオペレーションを行う第2機能回路であって、前記プログラミング・オペレーションの間、前記第2機能回路のための電源及びアース電位から切り離される前記第2機能回路とを備える電子パッケージ。
  2. 前記プログラミング・オペレーションの完了後に、前記第1機能回路を前記第1機能回路のための電源及びアース電位に接続し、前記第2機能回路を前記第2機能回路のための電源及びアース電位に接続する、請求項1に記載の電子パッケージ。
  3. 第1チップの複数のスルー・シリコン・ビアのそれそれに第2チップの複数のスルー・シリコン・ビアが1つずつ接続されている電子パッケージであって、
    前記第1チップの前記複数のスルー・シリコン・ビアのうち少なくとも1つであるプログラム可能ヒューズ式スルー・シリコン・ビアであって、該ビア内の導電材料の一部の断面が縮小されているヒューズ式構造を有する前記プログラム可能ヒューズ式スルー・シリコン・ビアと、
    前記第1チップに設けられ、前記プログラム可能ヒューズ式スルー・シリコン・ビアの一端を第1スイッチを介してアース電位に接続する第1プログラミング・ブロックと、
    前記第2チップに設けられ、前記プログラム可能ヒューズ式スルー・シリコン・ビアの他端を第2スイッチを介して電流源に接続する第2プログラミング・ブロックと、
    前記第2プログラミング・ブロック内に設けられ、第3スイッチを介して前記プログラム可能ヒューズ式スルー・シリコン・ビアの前記他端に接続されている第1入力及び閾値電圧が印加される第2入力を有し、前記第1入力の電圧が前記第2入力の閾値電圧を超えると、前記プログラム可能ヒューズ式スルー・シリコン・ビアがプログラムされたことを示す出力を発生するコンパレータと、
    前記第1チップに設けられ、前記前記プログラム可能ヒューズ式スルー・シリコン・ビアの前記一端に接続され、前記第1チップのオペレーションを行う第1機能回路と、
    前記第2チップに設けられ、前記前記プログラム可能ヒューズ式スルー・シリコン・ビアの前記他端に接続され、前記第2チップのオペレーションを行う第2機能回路と、
    前記プログラム可能ヒューズ式スルー・シリコン・ビアのプログラミング・オペレーションの間、前記第1スイッチ及び前記第2スイッチを閉じるための信号、前記第1機能回路及び前記第2機能回路を、前記第1機能回路及び前記第2機能回路のそれぞれのための電源及びアース電位から切り離す信号、並びに、前記第3スイッチを閉じる信号を発生する手段とを備える電子パッケージ。
  4. 前記プログラミング・オペレーションは、前記プログラム可能ヒューズ式スルー・シリコン・ビアを、低インピーダンス状態から高インピーダンス状態に切り替える、請求項に記載の電子パッケージ。
  5. 前記第1機能回路と、該第1機能回路のための前記電源及び前記アース電位のそれぞれとの間に前記第1機能回路のためのスイッチが設けられており、前記プログラミング・オペレーションの間前記第1機能回路のためのスイッチが開き、前記プログラミング・オペレーションの完了後に前記第1機能回路のためのスイッチが閉じる、請求項3に記載の電子パッケージ。
  6. 前記第2機能回路と、該第2機能回路のための前記電源及び前記アース電位のそれぞれとの間に前記第2機能回路のためのスイッチが設けられており、前記プログラミング・オペレーションの間前記第2機能回路のためのスイッチが開き、前記プログラミング・オペレーションの完了後に前記第2機能回路のためのスイッチが閉じる、請求項3に記載の電子パッケージ。
  7. 前記第3スイッチを閉じる信号は、前記第1スイッチ及び前記第2スイッチを閉じるための信号の開始後の予定の期間経過後に発生される、請求項3に記載の電子パッケージ。
  8. 第1チップの複数のスルー・シリコン・ビアのそれそれに第2チップの複数のスルー・シリコン・ビアが1つずつ接続されており、さらに
    前記第1チップの前記複数のスルー・シリコン・ビアのうち少なくとも1つであるプログラム可能ヒューズ式スルー・シリコン・ビアであって、該ビア内の導電材料の一部の断面が縮小されているヒューズ式構造を有する前記プログラム可能ヒューズ式スルー・シリコン・ビアと、
    前記第1チップに設けられた第1プログラミング・ブロックと、
    前記第2チップに設けられた第2プログラミング・ブロックと、
    前記第1チップ内に設けられ、前記プログラム可能ヒューズ式スルー・シリコン・ビアの前記一端に接続された第1機能回路と、
    前記第2チップ内に設けられ、前記プログラム可能ヒューズ式スルー・シリコン・ビアの前記他端に接続された第2機能回路とを備える、電子パッケージの前記プログラム可能ヒューズ式スルー・シリコン・ビアを低インピーダンス状態から高インピーダンス状態へ切り替えるプログラミング・オペレーションを行う方法であって、
    前記プログラミング・オペレーションの間、前記第1プログラミング・ブロックが、活性化された第1信号に応答して、前記プログラム可能ヒューズ式スルー・シリコン・ビアの一端をアース電位に接続し、前記活性化された第1信号が、前記第1機能回路に接続されたスイッチを開いて前記第1機能回路を該第1機能回路のための電源及びアース電位から切り離すと共に、前記第2機能回路に接続されたスイッチを開いて前記第2機能回路を該第2機能回路のための電源及びアース電位から切り離し、前記第2プログラミング・ブロックが、活性化された第2信号に応答して、前記プログラム可能ヒューズ式スルー・シリコン・ビアの他端を電流源に接続するステップと、
    前記プログラミング・オペレーションの間、前記第2プログラミング・ブロックが、活性化された第3信号に応答して、前記プログラム可能ヒューズ式スルー・シリコン・ビアの低インピーダンス状態から高インピーダンス状態への切り替わりを感知するステップとを含む、方法。
  9. 前記プログラミング・オペレーションの完了後に、滅勢化された前記第1信号が、前記第1機能回路に接続されたスイッチを閉じて前記第1機能回路を該第1機能回路のための電源及びアース電位に接続すると共に、前記第2機能回路に接続されたスイッチを閉じて前記第2機能回路を該第2機能回路のための電源及びアース電位に接続するステップを含む、請求項8に記載の方法。
  10. 第1チップの複数のスルー・シリコン・ビアのそれそれに第2チップの複数のスルー・シリコン・ビアが1つずつ接続され、さらに、
    前記第1チップの前記複数のスルー・シリコン・ビアのうち少なくとも1つであるプログラム可能ヒューズ式スルー・シリコン・ビアであって、該ビア内の導電材料の一部の断面が縮小されているヒューズ式構造を有する前記プログラム可能ヒューズ式スルー・シリコン・ビアと、
    前記第1チップに設けられ、前記プログラム可能ヒューズ式スルー・シリコン・ビアの一端とアース電位との間に接続された第1スイッチを有する第1プログラミング・ブロックと、
    前記第2チップに設けられ、前記プログラム可能ヒューズ式スルー・シリコン・ビアの他端と電流源との間に接続された第2スイッチを有する第2プログラミング・ブロックと、
    前記第2プログラミング・ブロック内に設けられ、第3スイッチを介して前記プログラム可能ヒューズ式スルー・シリコン・ビアの前記他端に接続されている第1入力及び閾値電圧が印加される第2入力を有し、前記第1入力の電圧が前記第2入力の閾値電圧を超えると、前記プログラム可能ヒューズ式スルー・シリコン・ビアがプログラムされたことす出力を発生するコンパレータと、
    前記第1チップに設けられ、前記前記プログラム可能ヒューズ式スルー・シリコン・ビアの前記一端に接続され、前記第1チップのオペレーションを行う第1機能回路と、
    前記第2チップに設けられ、前記前記プログラム可能ヒューズ式スルー・シリコン・ビアの前記他端に接続され、前記第2チップのオペレーションを行う第2機能回路とを備える、電子パッケージの前記プログラム可能ヒューズ式スルー・シリコン・ビアを低インピーダンス状態から高インピーダンス状態へ切り替えるプログラミング・オペレーションを行う方法であって、
    前記プログラミング・オペレーションの間、前記第1プログラミング・ブロックが、活性化された第1信号が前記第1スイッチに印加されることにより、前記プログラム可能ヒューズ式スルー・シリコン・ビアの一端をアース電位に接続し、前記活性化された第1信号が、前記第1機能回路に接続されたスイッチを開いて前記第1機能回路を該第1機能回路のための電源及びアース電位から切り離すと共に、前記第2機能回路に接続されたスイッチを開いて前記第2機能回路を該第2機能回路のための電源及びアース電位から切り離し、前記第2プログラミング・ブロックが、活性化された第2信号が前記第2スイッチに印加されることにより、前記プログラム可能ヒューズ式スルー・シリコン・ビアの他端を電流源に接続するステップと、
    前記プログラミング・オペレーションの間、前記第2プログラミング・ブロックの前記コンパレータが、活性化された第3信号に応答して、前記プログラム可能ヒューズ式スルー・シリコン・ビアの低インピーダンス状態から高インピーダンス状態への切り替わりを感知するステップとを含む、方法。
  11. 前記第1機能回路と、該第1機能回路のための前記電源及び前記アース電位のそれぞれとの間に前記第1機能回路のためのスイッチが設けられており、前記プログラミング・オペレーションの間、前記活性化された第1信号が前記第1機能回路のためのスイッチを開き、前記プログラミング・オペレーションの完了後に、滅勢化された前記第1信号が前記第1機能回路のためのスイッチを閉じる、請求項10に記載の方法。
  12. 前記第2機能回路と、該第2機能回路のための前記電源及び前記アース電位のそれぞれとの間に前記第2機能回路のためのスイッチが設けられており、前記プログラミング・オペレーションの間、前記活性化された第1信号が前記第2機能回路のためのスイッチを開き、前記プログラミング・オペレーションの完了後に、滅勢化された前記第1信号が前記第2機能回路のためのスイッチを閉じる、請求項10に記載の方法。
  13. 前記活性化された第3信号は、前記活性化された第1信号及び前記活性化された第2信号の開始後の予定の期間経過後に発生される、請求項10に記載の方法。
JP2011546640A 2009-01-22 2009-11-25 電子パッケージ及び電子パッケージのプログラム可能ヒューズ式スルー・シリコン・ビアをプログラムする方法 Active JP5619026B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/357,664 2009-01-22
US12/357,664 US7816945B2 (en) 2009-01-22 2009-01-22 3D chip-stack with fuse-type through silicon via
PCT/EP2009/065814 WO2010083912A1 (en) 2009-01-22 2009-11-25 3d chip-stack with fuse-type through silicon via

Publications (2)

Publication Number Publication Date
JP2012516042A JP2012516042A (ja) 2012-07-12
JP5619026B2 true JP5619026B2 (ja) 2014-11-05

Family

ID=41692044

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011546640A Active JP5619026B2 (ja) 2009-01-22 2009-11-25 電子パッケージ及び電子パッケージのプログラム可能ヒューズ式スルー・シリコン・ビアをプログラムする方法

Country Status (7)

Country Link
US (2) US7816945B2 (ja)
EP (1) EP2324497B1 (ja)
JP (1) JP5619026B2 (ja)
KR (1) KR101379115B1 (ja)
CN (1) CN102272916B (ja)
TW (1) TWI447883B (ja)
WO (1) WO2010083912A1 (ja)

Families Citing this family (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100790452B1 (ko) * 2006-12-28 2008-01-03 주식회사 하이닉스반도체 다마신 공정을 이용한 반도체 소자의 다층 금속배선형성방법
GB2462589B (en) * 2008-08-04 2013-02-20 Sony Comp Entertainment Europe Apparatus and method of viewing electronic documents
US8304863B2 (en) * 2010-02-09 2012-11-06 International Business Machines Corporation Electromigration immune through-substrate vias
KR20120000748A (ko) * 2010-06-28 2012-01-04 삼성전자주식회사 반도체 소자 및 그 제조 방법
KR101251916B1 (ko) * 2010-08-27 2013-04-08 에스케이하이닉스 주식회사 반도체 집적회로
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US8216936B1 (en) * 2010-10-21 2012-07-10 Xilinx, Inc. Low capacitance electrical connection via
US9431298B2 (en) * 2010-11-04 2016-08-30 Qualcomm Incorporated Integrated circuit chip customization using backside access
US8557677B2 (en) * 2010-11-10 2013-10-15 Institute of Microelectronics, Chinese Academy of Sciences Stack-type semiconductor device and method for manufacturing the same
CN102468284B (zh) * 2010-11-10 2014-04-16 中国科学院微电子研究所 堆叠的半导体器件及其制造方法
KR20120052734A (ko) 2010-11-16 2012-05-24 삼성전자주식회사 반도체 칩 및 반도체 칩의 형성 방법
US20120154102A1 (en) * 2010-12-16 2012-06-21 Shi-Bai Chen Electrical fuse structure
US8421245B2 (en) 2010-12-22 2013-04-16 Intel Corporation Substrate with embedded stacked through-silicon via die
KR101817156B1 (ko) * 2010-12-28 2018-01-10 삼성전자 주식회사 관통 전극을 갖는 적층 구조의 반도체 장치, 반도체 메모리 장치, 반도체 메모리 시스템 및 그 동작방법
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8637353B2 (en) 2011-01-25 2014-01-28 International Business Machines Corporation Through silicon via repair
JP5870493B2 (ja) 2011-02-24 2016-03-01 セイコーエプソン株式会社 半導体装置、センサーおよび電子デバイス
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8836137B2 (en) * 2012-04-19 2014-09-16 Macronix International Co., Ltd. Method for creating a 3D stacked multichip module
CN102760711A (zh) * 2011-04-29 2012-10-31 中国科学院微电子研究所 半导体器件及其编程方法
US8551882B2 (en) 2011-06-14 2013-10-08 Nxp B.V. Back-side contact formation
US8822336B2 (en) * 2011-06-16 2014-09-02 United Microelectronics Corp. Through-silicon via forming method
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8877637B2 (en) * 2011-09-16 2014-11-04 Globalfoundries Singapore Pte. Ltd Damascene process for aligning and bonding through-silicon-via based 3D integrated circuit stacks
US8617989B2 (en) * 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US9059175B2 (en) * 2011-11-16 2015-06-16 International Business Machines Corporation Forming BEOL line fuse structure
US8552548B1 (en) 2011-11-29 2013-10-08 Amkor Technology, Inc. Conductive pad on protruding through electrode semiconductor device
JP6018757B2 (ja) 2012-01-18 2016-11-02 東京エレクトロン株式会社 基板処理装置
US8963316B2 (en) 2012-02-15 2015-02-24 Advanced Semiconductor Engineering, Inc. Semiconductor device and method for manufacturing the same
CN103367307B (zh) * 2012-03-27 2016-03-23 南亚科技股份有限公司 穿硅通孔与其形成方法
US9348385B2 (en) 2012-07-09 2016-05-24 L. Pierre deRochement Hybrid computing module
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9281242B2 (en) * 2012-10-25 2016-03-08 Nanya Technology Corp. Through silicon via stacked structure and a method of manufacturing the same
US8921167B2 (en) 2013-01-02 2014-12-30 International Business Machines Corporation Modified via bottom for BEOL via efuse
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US8987914B2 (en) 2013-02-07 2015-03-24 Macronix International Co., Ltd. Conductor structure and method
US8993429B2 (en) 2013-03-12 2015-03-31 Macronix International Co., Ltd. Interlayer conductor structure and method
US8754499B1 (en) 2013-03-14 2014-06-17 International Business Machines Corporation Semiconductor chip with power gating through silicon vias
US9040406B2 (en) 2013-03-14 2015-05-26 International Business Machines Corporation Semiconductor chip with power gating through silicon vias
JP6107357B2 (ja) * 2013-04-16 2017-04-05 富士通セミコンダクター株式会社 半導体装置及び半導体装置の製造方法
US9117526B2 (en) 2013-07-08 2015-08-25 Macronix International Co., Ltd. Substrate connection of three dimensional NAND for improving erase performance
US9373588B2 (en) 2013-09-24 2016-06-21 Intel Corporation Stacked microelectronic dice embedded in a microelectronic substrate
US9070447B2 (en) 2013-09-26 2015-06-30 Macronix International Co., Ltd. Contact structure and forming method
US8970040B1 (en) 2013-09-26 2015-03-03 Macronix International Co., Ltd. Contact structure and forming method
US9318413B2 (en) 2013-10-29 2016-04-19 Globalfoundries Inc. Integrated circuit structure with metal cap and methods of fabrication
US9318414B2 (en) 2013-10-29 2016-04-19 Globalfoundries Inc. Integrated circuit structure with through-semiconductor via
CN103700618B (zh) * 2013-12-13 2016-09-07 中国电子科技集团公司第五十八研究所 基于圆片级硅通孔工艺基板的结构强度增强的制作方法
US9343322B2 (en) 2014-01-17 2016-05-17 Macronix International Co., Ltd. Three dimensional stacking memory film structure
US9196628B1 (en) 2014-05-08 2015-11-24 Macronix International Co., Ltd. 3D stacked IC device with stepped substack interlayer connectors
US9721964B2 (en) 2014-06-05 2017-08-01 Macronix International Co., Ltd. Low dielectric constant insulating material in 3D memory
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9613864B2 (en) 2014-10-15 2017-04-04 Micron Technology, Inc. Low capacitance interconnect structures and associated systems and methods
KR102469828B1 (ko) * 2014-12-18 2022-11-23 소니그룹주식회사 반도체 장치, 제조 방법, 전자 기기
US9379129B1 (en) 2015-04-13 2016-06-28 Macronix International Co., Ltd. Assist gate structures for three-dimensional (3D) vertical gate array memory structure
KR102423813B1 (ko) * 2015-11-27 2022-07-22 삼성전자주식회사 반도체 소자
WO2017095398A1 (en) * 2015-12-02 2017-06-08 Intel Corporation Anchored through-silicon vias
US10396012B2 (en) * 2016-05-27 2019-08-27 International Business Machines Corporation Advanced through substrate via metallization in three dimensional semiconductor integration
US10312181B2 (en) 2016-05-27 2019-06-04 International Business Machines Corporation Advanced through substrate via metallization in three dimensional semiconductor integration
US9786605B1 (en) 2016-05-27 2017-10-10 International Business Machines Corporation Advanced through substrate via metallization in three dimensional semiconductor integration
US10361140B2 (en) 2016-06-10 2019-07-23 International Business Machines Corporation Wafer stacking for integrated circuit manufacturing
US10381304B2 (en) * 2017-07-31 2019-08-13 Globalfoundries Inc. Interconnect structure
JP7055109B2 (ja) * 2019-01-17 2022-04-15 三菱電機株式会社 半導体装置
US10971447B2 (en) 2019-06-24 2021-04-06 International Business Machines Corporation BEOL electrical fuse
KR20220095424A (ko) * 2020-12-30 2022-07-07 에스케이하이닉스 주식회사 관통 전극을 포함하는 반도체 칩, 및 이를 포함하는 반도체 패키지
US11799001B2 (en) 2021-03-09 2023-10-24 Taiwan Semiconductor Manufacturing Company, Ltd. Back-end-of-line devices

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05267464A (ja) * 1992-03-18 1993-10-15 Fujitsu Ltd 半導体装置
US5314840A (en) * 1992-12-18 1994-05-24 International Business Machines Corporation Method for forming an antifuse element with electrical or optical programming
US5973396A (en) * 1996-02-16 1999-10-26 Micron Technology, Inc. Surface mount IC using silicon vias in an area array format or same size as die array
US6252292B1 (en) * 1999-06-09 2001-06-26 International Business Machines Corporation Vertical electrical cavity-fuse
JP3779524B2 (ja) * 2000-04-20 2006-05-31 株式会社東芝 マルチチップ半導体装置及びメモリカード
JP2005109116A (ja) * 2003-09-30 2005-04-21 Oki Electric Ind Co Ltd 半導体装置及びその製造方法
JP4365750B2 (ja) * 2004-08-20 2009-11-18 ローム株式会社 半導体チップの製造方法、および半導体装置の製造方法
US7122898B1 (en) 2005-05-09 2006-10-17 International Business Machines Corporation Electrical programmable metal resistor
US7317256B2 (en) 2005-06-01 2008-01-08 Intel Corporation Electronic packaging including die with through silicon via
JP4884077B2 (ja) * 2006-05-25 2012-02-22 ルネサスエレクトロニクス株式会社 半導体装置
US7427803B2 (en) 2006-09-22 2008-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Electromagnetic shielding using through-silicon vias
KR100800161B1 (ko) 2006-09-30 2008-02-01 주식회사 하이닉스반도체 관통 실리콘 비아 형성방법
US7494846B2 (en) * 2007-03-09 2009-02-24 Taiwan Semiconductor Manufacturing Company, Ltd. Design techniques for stacking identical memory dies
EP2165362B1 (en) 2007-07-05 2012-02-08 ÅAC Microtec AB Low resistance through-wafer via
US7939926B2 (en) * 2008-12-12 2011-05-10 Qualcomm Incorporated Via first plus via last technique for IC interconnects

Also Published As

Publication number Publication date
KR101379115B1 (ko) 2014-03-31
CN102272916B (zh) 2015-10-14
KR20110113634A (ko) 2011-10-17
WO2010083912A1 (en) 2010-07-29
EP2324497A1 (en) 2011-05-25
TW201044534A (en) 2010-12-16
CN102272916A (zh) 2011-12-07
US20100182041A1 (en) 2010-07-22
JP2012516042A (ja) 2012-07-12
EP2324497B1 (en) 2013-05-01
US20100261318A1 (en) 2010-10-14
TWI447883B (zh) 2014-08-01
US8211756B2 (en) 2012-07-03
US7816945B2 (en) 2010-10-19

Similar Documents

Publication Publication Date Title
JP5619026B2 (ja) 電子パッケージ及び電子パッケージのプログラム可能ヒューズ式スルー・シリコン・ビアをプログラムする方法
US7839163B2 (en) Programmable through silicon via
US9646930B2 (en) Semiconductor device having through-substrate vias
US8198734B2 (en) Silicon-on-insulator structures for through via in silicon carriers
JP4979320B2 (ja) 半導体ウェハおよびその製造方法、ならびに半導体装置の製造方法
KR20120002499A (ko) 스트레스 완화 메커니즘을 갖는 관통 홀 비아들을 포함하는 반도체 디바이스
JP7242907B2 (ja) 三次元メモリデバイスおよびその製作方法
CN113284841B (zh) 形成三维半导体结构的方法
TWI627708B (zh) 具有保留處理晶圓部之裝置層轉移
US9997453B2 (en) Antifuse having comb-like top electrode
EP1151474A1 (en) Programmable semiconductor device structures and methods for making the same
US20240088037A1 (en) Integrated circuit chip with backside power delivery and multiple types of backside to frontside vias
CN107644836A (zh) 用于三维存储器的晶圆三维集成引线工艺及其结构

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120612

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20131212

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140107

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140314

RD12 Notification of acceptance of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7432

Effective date: 20140314

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20140315

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140825

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140916

R150 Certificate of patent or registration of utility model

Ref document number: 5619026

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150