JP5586651B2 - プラズマ窒化ゲート誘電層における窒素プロフィルを改善する方法 - Google Patents

プラズマ窒化ゲート誘電層における窒素プロフィルを改善する方法 Download PDF

Info

Publication number
JP5586651B2
JP5586651B2 JP2012093839A JP2012093839A JP5586651B2 JP 5586651 B2 JP5586651 B2 JP 5586651B2 JP 2012093839 A JP2012093839 A JP 2012093839A JP 2012093839 A JP2012093839 A JP 2012093839A JP 5586651 B2 JP5586651 B2 JP 5586651B2
Authority
JP
Japan
Prior art keywords
substrate
chamber
nitrogen
annealing
dielectric layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2012093839A
Other languages
English (en)
Other versions
JP2012199555A (ja
Inventor
クリストファー, エス. オルセン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2012199555A publication Critical patent/JP2012199555A/ja
Application granted granted Critical
Publication of JP5586651B2 publication Critical patent/JP5586651B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/913Diverse treatments performed in unitary chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Toxicology (AREA)
  • Ceramic Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Formation Of Insulating Films (AREA)
  • Electrodes Of Semiconductors (AREA)

Description

[0001]本発明は、2002年6月12日に出願された仮出願第60/388599号および2002年7月30に出願された仮出願第60/399765号からの優先権を主張し、これらの出願の内容は全体的に参考の為に取り込まれる。
1)発明の分野
[0002]本発明は、半導体処理に関し、より具体的には、プラズマ窒化ゲート誘電層における窒素プロファイルを改善する為の方法に関する。
2)関連技術の開示
[0003]トランジスタ製作に使用されるゲート誘電膜は、しばしば、窒素イオンで窒化され、それらの静電容量を増加させる。そのような膜内の窒素のフラクション(fraction)は、更なる処理の前に当該膜内に組み込まれた後、見失われる。全窒素含量は、処理遅れにおける違いのため、ウエハによって異なるおそれがあるので、異なるウエハのトランジスタは、著しく異なる静電容量を備えた誘電層を有する。
[0004]あるシステムのチャンバ内で窒化されるゲート誘電膜は、後で、同一システムの他のチャンバ内で加熱されるかアニールされる方法が提供される。処理遅れは、当該システム内で処理される全ウエハが同様の窒素消耗を受けるように制御可能である。
[0005]以下、本発明を、添付図面を参照して、実施例により説明する。
図1は、基板処理の為のシステムの平面図である。 図2は、ウエハ基板が、図1のシステム内に挿入される前のウエハ基板を例示する側部の横断面図である。 図3は、基板をチャンバの一つに挿入する為に、いかに当該システムが操作されるかを例示するフローチャートである。 図4は、基板が挿入されるチャンバを有する急速加熱装置の側部横断面図である。 図5は、図4の装置のリッドの底面図である。 図6は、図4の装置内で、いかに基板が処理されるかを例示するフローチャートである。 図7は、図4の装置内でウエハ基板が処理された後、図2と同様の側部横断面図である。 図8は、基板が挿入される他のチャンバを有するプラズマリアクタの斜視図である。 図9は、図8のシステムのチャンバを例示する側部横断面図である。 図10は、図8および図9のシステムが、いかに二酸化珪素ゲート誘電層に窒素を導入する為に使用可能であるかを例示する。 図11は、異なる時間の後の窒素レベルを例示するグラフである。 図12は、図11より少ない時間にわたる異なる時間の後の窒素レベルを例示するグラフである。 図13は、アニールステップにおいて異なる温度ランプ率を使用するときの窒素比率を例示するグラフである。 図14は、低圧酸素及び高圧窒素環境内で同様の膜がアニールされるときの窒素保持特性を例示するグラフである。
[0020]添付図面の図1は、半導体ウエハを処理する為のシステム10を例示する。このシステム10は、ファクトリ・インテグレーション・ユニット12,第1バッチ・ロードロック・アセンブリ14A、第2バッチ・ロードロック・アセンブリ14B、搬送チャンバ18,第1ウエハ処理チャンバ20A、第2ウエハ処理チャンバ20B、第3ウエハ処理チャンバ20C、第4ウエハ処理チャンバ20Dを含む。
[0021]ウエハ処理チャンバ20A,20B,20Cの各々は、直接、搬送チャンバ18に通じている。それぞれのスリット・バルブ82A,82B,82Cは、搬送チャンバ18とウエハ処理チャンバ20A,20B,20C,20Dの一つと、それぞれ、開閉連通するように取り付けられている。
[0022]ロボット84は、搬送チャンバ18内に置かれている。ロボット84は、ブレード86を有し、ロボット84が操作されるとき、ブレード86は、ウエハをチャンバ20A,20B,20Cの一方から他方へ搬送することができる。サセプタ88は、チャンバ20の各々の中に置かれており、その上部に、ウエハがブレード86により置かれる。
[0023]図2は、システム10内に挿入される前の基板60を例示する。基板60は、シリコンで形成され、露出されるように洗浄されたエピタキシャルシリコンの上部層を有する。
[0024]コントローラ(図示せず)は、図1に示されるシステム10の様々な構成要素を制御する為に使用される。
[0025]コントローラは、通常、プロセッサを有するコンピュータであるが、プロセッサは、システム10の全構成要素を制御するプログラムを実行するようにプログラムされている。このプログラムは、プロセッサ実行可能なコードを含み、通常、ディスクや他のコンピュータ可読媒体に保存され、システム10の構成要素を制御する為にコンピュータのプロセッサが当該プログラムを読み取り、実行する場合にコンピュータのメモリにロードされる。特に、プログラムの特別な特徴と、いかに構成されているかは、以下の説明から、当業者にとって明らかであろう。
[0026]図3は、当該システム10が、いかに操作されるかを例示するのに役立つフローチャートである。
[0027]搬送チャンバ18の領域がロードロック・チャンバ24と連通しないように、スリット・バルブ42は、最初に閉じられている。ロードロック・チャンバ24は、汚染を除去する為に最初に排気される。その後、ロードロック・チャンバ24は、窒素のような不活性ガスで裏込めされる(backfilled)。スリット・バルブ82は、ウエハ処理チャンバ20が搬送チャンバ18と連通するように開かれる。搬送チャンバ18とウエハ処理チャンバ20は、窒素ガスのような不活性ガスで充填される。第1ロードロック・アセンブリ14Aのドア40は、開かれている。
[0028]その後、ファクトリ・インテグレーション・ユニット12内に置かれたロボット(図示せず)は、第1ロードロック・アセンブリ14A内のウエハカセット上に合計で25枚のウエハ基板をロードする(ステップ1)。その後、ドア40は、基板がロードロック・チャンバ24内で隔離されるように閉じられる(ステップ2)。
[0029]その後、スリット・バルブ42は、開かれる(ステップ7)。それから、ロボット84は、ロードロック・チャンバ24内のウエハカセットから一枚の基板を取り外し、その基板を第1ウエハ処理チャンバ20A内に置く。その後、スリット・バルブ82は、ウエハ処理チャンバ20が搬送チャンバ18と隔離されるように閉じられる(ステップ9)。
[0030]図4,図5に例示されるように、ウエハ処理チャンバ20Aは、低温壁チャンバであり、急速加熱装置100の一部を形成する。急速加熱装置100は、図1に示されるように、側壁114と底壁115により囲まれた排気処理チャンバ20Aを含む。側壁114と底壁115は、ステンレス鋼で形成されるのが好ましい。チャンバ20Aの側壁114の上部は、”O”リング116によりウインドウアセンブリ117に対して密閉される。放射エネルギ光導波路アセンブリ118は、ウインドウアセンブリ117の上方で位置決めされ、ウインドウアセンブリ117に結合されている。放射エネルギアセンブリ118は、複数のタングステンハロゲンランプ119、例えば、Sylvania EYTランプを含み、各々のタングステンハロゲンランプ119は、光導波路121に取り付けられるが、これらは、ステンレス鋼、黄銅、アルミニウム、他の金属でもよい。
[0031]基板60は、チャンバ20Aの内側のエッジ上で、シリコンカーバイドで形成された支持リング162により支持されている。支持リング162は、回転可能な石英製シリンダ163上に取り付けられている。石英製シリンダ163を回転させることにより、支持リング162と基板60は、回転可能になる。追加のシリコンカーバイド製アダプタリングを使用することにより、異なる径(例えば、150mmや200mm)のウエハを処理することができる。支持リング162の外側エッジは、基板60の外径から2インチ未満だけ延びていることが好ましい。チャンバ20Aの容量は、およそ2リットルである。
[0032]装置100の底壁115は、基板60の裏側にエネルギを反射する為に金で被膜された最上部表面111を含む。さらに、急速加熱装置100は、基板60の温度をその底部表面にわたって複数の場所で検出する為に、装置100の底壁115を通って位置決めされた複数のファイバ型光学プローブ170を含む。基板60の裏側と反射表面111との間の反射は、黒体キャビティを作り出し、これが、温度測定をウエハの裏側放射率から独立させるので、正確な温度測定性能が提供される。
[0033]急速加熱装置100は、ガス入口169を含み、ガス入口169は、処理ガスをチャンバ20A内に噴射する為に側壁114を通って形成されているので、様々な処理ステップをチャンバ20A内で実行可能である。ガス入口169に結合されているのは、(O2のような)酸素含有ガスの(タンクのような)源と、(Hのような)水素含有ガスの(タンクのような)源である。側壁114において、ガス入口169の反対側に位置決めされているのは、ガス出口168である。ガス出口168は、(ポンプのような)真空源に結合され、チャンバ20Aから処理ガスを排気し、チャンバ20A内の圧力を減少させる。真空源は、処理中に、チャンバ内へ処理ガスが連続して供給される間、所望の圧力を維持する。
[0034]ランプ119は、ランプ包囲体の軸と平行な軸を備えたコイルとして巻回されたフィラメントを含む。大半の光は、光導波路を囲む壁に向かう軸に直交して放射される。光導波路の長さは、関連したランプと少なくとも同程度の長さに選択されている。ウエハに到達するパワーが、増加された反射により実質的に減衰しないならば、光導波路の長さは長くてもよい。光アセンブリ118は、図2に例示されるように、六角形状アレイまたは蜂の巣状に位置決めされた187個のランプを含むことが望ましい。ランプ119は、基板60及び支持リング162の全表面区域を十分に覆うように位置決めされている。ランプ119は、ゾーンに分類されており、ゾーンは、基板60の極端に均一な加熱のために提供するように独立して制御可能である。熱パイプ121は、様々な熱パイプ間に冷媒(例えば、水)を流すことにより冷却可能である。複数の光導波路121と関連ランプ119を備える放射エネルギ源118は、排気処理用チャンバ内で基板を加熱する為に、光学ポートを提供する為に薄い石英製ウインドウの使用を許容する。
[0035]ウインドウアセンブリ117は、複数の短い光パイプ141を含み、光パイプ141は、上部/下部フランジプレートにロウ付けされ、これらのプレートは、プレートの外部エッジが外部壁144に密封されている。冷媒(例えば、水)は、光パイプ141とフランジを冷却する為に役立つように、光パイプ141間の空間に噴射可能である。光パイプ141は、照明器の光パイプ121を用いてレジスタする。ランプハウジングを用いてレジスタする光パイプパターンを備えた水冷式フランジは、2つの石英製ウインドウ147,148の間に挟まれている。これらのプレートは、フランジの周辺付近に「O」リング149,151を用いてフランジに密閉されている。上部及び下部フランジプレートは、光パイプ間を連通させる溝を含む。真空は、1つの光パイプ141に接続された管153を通して真空引きすることにより複数の光パイプ141内で生成可能であるが、それは、また、フランジの残部に接続されている。そのため、挟まれた構造が、処理チャンバ20A上に載置されるとき、通常はステンレス鋼であり優れた機械的強度を有する金属製フランジは、十分な構造的支持を提供する。石英製下部ウインドウ148は、処理チャンバ20Aを実際に密閉するものであるが、各側部の真空のため、ほとんど圧力差を受けないので、非常に薄く形成可能である。ウインドウアセンブリ117のアダプタプレートの発想は、洗浄または分析の為に、石英製ウインドウが簡単に変更されることを許容するものである。さらに、ウインドウアセンブリ117の石英ウインドウ147,148間の真空は、反応チャンバから洩れる毒性ガスに対し格外レベルの保護を提供する。
[0036]急速加熱装置100は、25〜100℃/秒の割合で基板60の温度勾配(ramping)を与える能力を有する単一又は枚様式ウエハ用反応チャンバである。急速加熱装置100は、「低温壁」反応チャンバと呼ばれるが、これは、酸化処理中にウエハ温度が、側壁114の温度より少なくとも400℃高いからである。加熱/冷却流体は、側壁114及び/又は底壁115を通って循環させ、壁を所望温度に維持することが可能である。本発明のイン・シトゥー水分発生を利用する水蒸気酸化腐食処理のため、チャンバ壁114,115は、凝結を避けるため、室温(23℃)より高い温度に維持される。急速加熱装置100は、「クラスタツール」の一部として構成されるのが好ましく、これは、ロボットアームを備えたロードロック及び搬送チャンバを含む。
[0037]本発明に従う急速加熱酸化処理において水分や蒸気をイン・シトゥーで発生させる方法は、図6のフローチャート300に例示されている。本発明の方法は、図4,図5に例示された急速加熱装置内のイン・シトゥー水分発生処理に関して説明される。本発明のイン・シトゥー水分発生酸化処理は、いかなる形式のシリコンを酸化する為にも使用可能であり、これには、エピタキシャル、アモルファス、多結晶、ドープされたもの、ドープされていない形式を含むことが分かる。さらに、当該処理は、以下に限定されるものではないが、ゲート誘電層を形成する為に使用されるものの他、エミッタ、キャパシタ型電極、相互接続、トレンチを含む、回路特徴部や他のデバイスを不動態化あるいは酸化するために使用可能である。
[0038]本発明による第1ステップは、ブロック302で記述されているように、(基板60のような)ウエハや基板を真空チャンバ20A内に移動させることである。最近のクラスタツールで典型的であるように、基板60は、ロボットアームによりロードロックから搬送チャンバを介して搬送され、図1に示されるように、チャンバ20A内に置かれたシリコンカーバイド支持リング162上で、表を上にして載置される。基板60は、一般的に、およそ20トルの搬送圧力で窒素(N)雰囲気を有する真空チャンバ20A内に搬送される。チャンバ20Aは、その後、密閉される。
[0039]次に、ブロック304で記述されているように、チャンバ20Aの圧力は、ガス出口168を通して窒素(N)雰囲気を排気することにより、更に減少される。チャンバ20Aは、窒素雰囲気を十分に除去する為に一定圧力まで排気される。チャンバ20Aは、イン・シトゥー水分発生が生じる圧力未満の反応前圧力まで、好ましくは、1トル未満の圧力まで、真空引きされる。
[0040]反応前真空引きと同様、電力がランプ119に印加され、ランプ119は、基板60とシリコンカーバイド製支持リング162を照射するので、基板60と支持リング162は、安定化温度まで加熱される。基板60の安定化温度は、イン・シトゥー水分発生の為に利用されるべき水素含有ガスと酸素含有ガスが反応を開始するのに必要な温度(反応温度)未満である。本発明の好適実施形態において安定化温度は、およそ500℃である。
[0041]いったん安定化温度と反応前圧力が達成されると、チャンバ20Aは、ブロック306に示されるように、所望の混合の処理ガスで裏込めされる。処理ガスは、2つの反応ガス、水素含有ガスと酸素含有ガスを備える反応性ガス混合物を含み、これらが一緒に反応して400から1250℃の温度で水蒸気(HO)を形成可能である。水素含有ガスは、好ましくは水素ガス(H)であるが、他の水素含有ガスでもよく、以下に限定されないが、例えば、アンモニア(NH)、ジュウテリウム(重水素)、メタンのような炭化水素がある。酸素含有ガスは、好ましくは酸素(O)であるが、他の酸素含有ガスでもよく、例えば、亜酸化窒素(NO)がある。他のガスは、必要であれば、以下に限定されないが、例えば窒素を処理ガス混合物内に含めてもよい。酸素含有ガスと水素含有ガスは、反応性ガス混合物を形成する為に、チャンバ20A内で一緒に混合されるのが好ましい。
[0042]次に、ブロック308で記述されているように、ランプ119に対する電力は、基板60の温度が処理温度まで上昇するように増加される。基板60は、安定化温度から処理温度まで、10から100℃/秒の割合(好ましいのは、少なくとも50℃/秒)で、増加するのが好ましい。本発明の好ましい処理温度は、600から1150℃の間であり、好ましいのは、950℃である。処理温度は、通常、少なくとも600℃である温度でなければならない(すなわち、酸素含有ガスと水素含有ガスとの間の反応が基板60により開始される温度でなければならない)。実際の反応温度は、反応ガス混合物の濃度比の他に、反応ガス混合物の分圧に依存すること、それは、400℃から1250℃間であることに注意されたい。
[0043]基板60の温度が処理温度まで増加されるので、それは、反応温度を通過し、水分または蒸気(HO)を形成する為に、水素含有ガスと酸素含有ガスの反応を起こす。急速加熱装置100は、「低温壁」リアクタであるので、反応を開始するのにチャンバ20A内で十分に熱い表面は、基板60と支持リング162だけである。そのため、本発明において、水分発生反応は、基板60の表面付近(そこから約1cm)で生じる。本発明において、水分発生反応は、基板60から約2インチ以内、または、基板60の外部エッジを過ぎて支持リング162が延びている量に限定される。水分発生反応を開始またはスイッチを「入れ」るのはウエハ(及び支持リング)の温度なので、その反応は、基板60(及び支持リング162)の温度による熱的制御と言われる。さらに、ウエハの加熱表面は、反応が発生するのに必要であることから、本発明の蒸気発生反応は、「表面触媒」と呼ばれるが;ウエハ蒸気を形成する反応内で消費されるわけではない。
[0044]次に、ブロック310で記述されているように、いったん所望の処理温度が達成されると、基板60の温度は、十分な時間中、一定に保持され、水素含有ガスと酸素含有ガスとの反応から発生された水蒸気がSiOを形成するようにシリコンまたは膜を酸化させる。基板60は、通常、30から120秒の間、処理温度で保持される。処理時間と温度は、一般的に、所望の酸化膜の厚み、酸化の目的、処理ガスの型及び濃度により指図される。
[0045]次に、ブロック312で記述されているように、ランプ119に対する電源は、減少されるかスイッチが切られ、基板60の温度が減じられる。基板60の温度は、(約50℃/秒で)冷却可能であるように急速に減少する(ランプダウン)。同時に、Nパージガスは、チャンバ20A内に供給される。基板60と支持リング162が反応温度以下に下がるとき、水分発生反応が停止する。また、水分反応のスイッチが「オン」、「オフ」される時期を支配するのは、基板(及び支持リングの)温度である。
[0046]次に、ブロック314に記述されるように、どんな残留酸素含有ガス及び水素含有ガスも、チャンバ20A内に存在しないことを確実にするため、チャンバ20Aは、好ましくは1トル以下に真空引きされる。その後、チャンバは、Nガスで、およそ20トルの所望の搬送圧力まで裏込めされ、基板60は、チャンバ20Aの外から搬送されて処理を終了する。このとき、新しいウエハは、チャンバ20A内に搬送可能になり、フローチャート300で記述された処理が繰り返される。
[0047]図1を参照すると、基板60は、その後、搬送チャンバ18内に置かれる。図7は、搬送チャンバ18内に移動された後の基板60を例示する。薄い二酸化珪素層62は、ウエハ基板60上に形成される。
[0048]ブレード86は、基板60を直ちに処理チャンバ20Aから搬送チャンバ18を介して処理チャンバ20Bに搬送する。搬送時間は、通常、30秒未満であるが、理想的には、10分未満であり、より好ましくは、2分未満である。
[0049]図8,図9に例示されるように、窒化処理チャンバ20Bは、プラズマリアクタ210の位置具を形成する。プラズマリアクタ210は、チャンバ20B、基板ホルダ214、RFコイル216、電極プレート218を含む。
[0050]特に図8を参照すると、プラズマリアクタ210は、更に、下部搬送チャンバ226と搬送機構228を含む。チャンバ20Bは、搬送チャンバ226の最上部に位置決めされている。搬送チャンバ226の内部ボリューム230は、チャンバ20Bのベース部内の円形開口232を通してチャンバ20Bの内部ボリューム224と連通して載置されている。基板ホルダ214は、搬送機構228の最上部に固定され、搬送機構228は基板ホルダ214を上下動するために使用可能である。
[0051]使用中、搬送機構228は、基板ホルダ214が搬送チャンバ226の内部ボリューム230内に下降するように操作される。ロボットアームに付けられたブレード上に位置決めされているウエハ基板60は、その後、搬送チャンバ226の壁にあるスリット・バルブの開口を通って内部ボリューム230内に搬送される。搬送機構228は、その後、基板ホルダ214がウエハ基板の下部表面に接触し、ウエハ基板をブレードから離して上昇させる為に基板ホルダ214を上昇させるように操作される。ブレードは、その後、搬送チャンバ226から取り外され、その後で、搬送機構228は、再び、基板ホルダ214を開口232内で上昇させるように操作される。基板ホルダ214上に置かれたウエハ基板は、その後、上部表面を有し、これがチャンバ20Bの内部ボリューム224に晒される。
[0052]チャンバ20Bは、主として、導電性本体236と誘電性石英上部壁238とを含む。導電性本体236は、チャンバ20Bの下部を形成し、上部壁238は、チャンバ20Bの上部を形成する。導電性本体236と上部壁238は、共同して、内部ボリューム224を画成する。
[0053]4個のガスノズルポート240は、導電性本体236を通って内部ボリューム224内に形成されている。ガスノズルポート240は、90度間隔で基板ホルダ214の周りに位置決めされている。導電性本体236は、また、真空ポンプ用チャネル242をその一側部に画成する。ガスノズルポート240は、バルブを取ってマニフォールドに接続され、真空ポンプ用チャネル242は、ポンプに接続されている。ポンプが操作されるとき、ガスは内部ボリューム224から真空ポンプ用チャネル242を通って抜き取られ、内部ボリューム224内の圧力が減少する。バルブは、ガスがマニフォールドからバルブ及びガスノズルポート240を通って内部ボリューム224内まで許容するように操作可能である。
[0054]特に図9を参照すると、上部壁238は、ドーム形状を有し、電極プレート218は、その上部壁238の外側表面に適合するドーム形状を有する。電極プレート218は、実際、上部壁238上に直接、置かれている。電極プレート218は、上部壁238の中央部上方に円形開口244を画成する。上部壁238と電極プレート218は、垂直軸246の周りに対称になっている。
[0055]コイル216は、垂直軸246と開口244の周りで螺旋形になっている。コイル216は、電極プレート218のドーム形状で位置決めされ、適合している。コイル216の一端は、RFソース250に接続され、コイル216の反対側の端部は、グランド252に接地されている。
[0056]以下、図9および図10を一緒に参照する。ウエハ基板をプラズマリアクタ210に挿入する目的は、二酸化珪素層62の誘電特性を修正または改善する目的の為に、窒素(N)を二酸化珪素層62に導入することである。窒素イオン(N )のプラズマ222は、内部ボリューム224内に生成される。窒素イオンは、プラズマの特性により定められるエネルギを持ち、プラズマは、それらが二酸化珪素層62内に導入されるようになる。
[0057]プラズマは、最初に内部ボリューム224内の圧力を所定レベルまで減らすことにより、プラズマが生成される。窒素含有ガスは、その後、内部ボリューム224内に導入される。窒素含有ガスは、例えば、純粋な窒素(N)、窒素とヘリウムとの混合ガス(N/He)、窒素とネオンとの混合ガス(N/Ne)、窒素とアルゴンとの混合ガス(N/Ar)でもよい。更なる検討のため、ガスが窒素ガスである場合について例を示す。
[0058]RFソース250は、その後、RF電流をコイル216に12.56MHzの周波数で提供するように操作される。RFコイル216は、RF電界を発生させ、これが上部壁238を横切って電極プレート218により広げられる。円形開口244は、RF電界が上部壁238を通り内部ボリューム224内に入れさせる。その後、RF電界は、内部ボリューム224内で窒素ガスに結合する。RF電界は、最初に小量の自由電子を励起する。その後、自由電子は、他の原子と衝突し、これらの原子から、より多くの電子を解放する。この処理は、プラズマ222が安定した量の自由電子、自由イオン、安定した電子温度、グランドに対する一定の電圧を有する定常状態に達するまで、続けられる。イオンのリザーバは、内部ボリューム224内で生成され、プラズマ222の電圧電位は、このリザーバからのイオンが二酸化珪素層内に導入されることを援助する。基板と基板ホルダ214の電位は、全体の処理中、自由に浮遊するが、プラズマ222の電位と基板ホルダ214の電位との間には差異があり、この差異がイオンの導入を駆動する。基板は、25℃から30℃の間の温度で保持され、チャンバ20Bの圧力は、およそ10mトルである。
[0059]図1を参照すると、プレート上の基板60は、その後、処理チャンバ20Bから、搬送チャンバ18を通り、その後、直ちに、アニール処理チャンバ20Cに移動される。処理チャンバ20Bから処理チャンバ20Cへの搬送は、通常、30秒未満であるが、好ましくは、10分未満であり、より好ましくは2分未満である。
[0060]図11は、異なる時間間隔の後に残っている窒素を例示する。X線写真電子分光法(XPS)の窒素比率測定結果が、窒化とアニール後との間の遅れに対して表示されている。二酸化珪素層における窒素の減損は、基板がチャンバ20B内で処理された後、直ちに基板60をチャンバ20C内で処理することにより最小にすることができる。さらに、一システム10内の処理を制御することにより、チャンバ20Bとチャンバ20C内の処理間の時間差を制御可能である。例えば、基板が最初に外部環境(例えば、空気中)に搬送され、その後、他のシステム内で処理される場合、処理における時間差は、制御不能である。さらに、異なる基板が違って処理可能なので、一基板は、処理中、例えば、数分の遅れを有し、他の基板は、例えば、数時間の遅れを有することが可能である。このような遅れの違いは、窒素減損における差異、異なる基板上の誘電層の静電容量における差異を生じる。
[0061]処理チャンバ20Cは、装置の一部を形成してもよく、当該装置は、図4に例示されたものと厳密に同一である。ハロゲンガスは、処理チャンバ20C内に導入されている。他の実施形態において、窒素または他のガスが使用されてもよい。処理チャンバ20C内の熱は、誘電層を「アニール」する。最適温度は、700℃から1100℃の間でもよい。本実施例において、温度は、およそ1000℃、0.5から5トル間の圧力である。基板は、およそ15秒間、アニールされる。誘電体層のアニール効果は、窒素の減損が実質的に減少されることである。代替えとして、基板は、処理チャンバ20Bは、誘電層がアニールされてもよい処理チャンバ20Aに直接、戻される。ポリシリコンゲート誘電層形成のような更なる処理は、当該システム内の他のチャンバ(例えば、チャンバ20D)内で実行されてもよいし、基板60が当該システムの外に搬送されてもよい。処理間の時間は、制御され、反復可能であり、異なるウエハは、同様の静電容量を有する誘電層を有する。基板は、図3に示されたシーケンスの逆であるシーケンスに従い、取り除かれる。
[0062]SiO膜の最上部内の窒素は、膜内の窒素と当該膜上方のガスとの間の化学的不均衡のため、最初に膜を離れると考えられる。最後の(すなわち、最上面の)トランジスタのチャネルから最も遠い窒素は、やはり、保持することが最も重要である。最上面の窒素を保持することにより、窒素プロファイル、更に、潜在的には誘電体性能を改善できる。当該膜の最上部内の窒素の減損は、窒素損失の総量を減少する処理中に減少可能である。
[0063]例えば、図12は、大半の窒素が、プラズマ窒化後の最初の5分間で減少することを例示する。プラズマ窒化後の、最初の2分間、好ましくは最初の1分間以内のアニールステップは、大幅に全体的な窒素損失、本質的には膜の最上部内の窒素を減少させることができる。
[0064]図13に例示されるように、温度のランプ率も、窒素損失に影響を与え得る。温度のランプ率は、好ましくは60℃/秒より高く、800℃より高温に達する為の時間を最小にし、もって、潜在的な窒素損失を最小にする。
[0065]図14に例示されたように、アニールステップが実行される圧力と環境は、著しく、膜内の窒素保持量に影響を与え得る。0.5トルの酸素環境内で実行されるアニールは、8.3%の窒素保持が生じるが、100トルの窒素環境において僅か800℃の温度でのアニールは、およそ8.45%の膜の窒素保持を導く。窒素環境と高圧の両方は、膜内の窒素と当該膜上方のガスとの間の低い化学的アンバランスを導き、当該膜からの窒素損失の低い率に対応している、と考えられている。100トルの窒素環境における異なる温度での窒素保持の外挿は、1000℃の温度で100トルの窒素環境において実行されたアニールステップが、およそ8.6%の窒素保持を導く可能性があることを示唆する。他の実施形態において、圧力は、少なくとも50トルでもよい。他の実施形態において、チャンバ20Cは、少なくとも50容積%の窒素を有してもよい。
[0066]一定の例示した実施例は、説明され、添付図面に示されてきたが、変形は当業者に起こり得るので、このような実施形態は、単なる例示的なものであり、本発明を限定するものではないこと、本発明は説明され、かつ図示された特定構造およびアレンジメントに限定されないことが理解されよう。
10…システム、12…ファクトリ・インテグレーション・ユニット、14A…第1ロードロック・アセンブリ、14B…第2ロードロック・アセンブリ、18…搬送チャンバ、20A…第1ウエハ処理チャンバ、20B…第2ウエハ処理チャンバ、20C…第3ウエハ処理チャンバ、24…ロードロック・チャンバ、40…ドア、42…スリット・バルブ、60…基板、62…二酸化珪素、82A、B,C…スリット・バルブ、84…ロボット、100…急速加熱装置、111…反射表面、114…側壁、115…底壁、116…「O」リング、117…ウインドウアセンブリ、118…放射エネルギ光導波路アセンブリ、119…タングステンハロゲンランプ、121…光導波路、141…光導波路、147、148…石英製ウインドウ、153…管、162…支持リング、163…回転石英シリンダ、168…ガス出口、169…ガス入口、170…ファイバ型光学プローブ、210…プラズマリアクタ、214…基板ホルダ、216…RFコイル、218…電極プレート、222…プラズマ、224…内部ボリューム、226…下部搬送チャンバ、228…搬送機構、230…内部ボリューム、232…円形開口、236…導電性本体、238…上部壁、240…ガスノズルポート、242…真空ポンプ用チャネル、244…円形開口、246…

Claims (10)

  1. 基板を処理する方法において:
    基板が、あるシステムの窒化用チャンバ内に置かれている間に、前記基板上に形成されたゲート誘電層に窒素(N)を導入するステップと;
    前記システムから前記基板を外に搬送することなく、前記基板を前記システムのアニール用チャンバに搬送するステップと;
    前記窒化用チャンバ内の前記基板の温度を超える800℃まで、60℃/秒より大きいランプ率で、前記アニール用チャンバ内で前記基板を加熱することにより、前記ゲート誘電層をアニールするステップと;を備え、前記アニールの間、前記アニール用チャンバ内の圧力は、100トルであり、前記基板を、前記窒素が導入された後、5分以内にアニールする、前記方法。
  2. 前記基板を、前記窒素が導入された後、2分以内にアニールする、請求項1記載の方法。
  3. 前記基板を、前記窒素が導入された後、1分以内にアニールする、請求項2記載の方法。
  4. 前記誘電層が晒されるガスは、少なくとも50容量%の窒素を含む、請求項1記載の方法。
  5. 前記アニール用チャンバは、前記誘電層がアニールされている間、少なくとも部分的に窒素ガスで充填される、請求項1記載の方法。
  6. 前記誘電層を窒素プラズマに晒すことにより、前記窒素が導入される、請求項1記載の方法。
  7. 前記誘電層は、二酸化珪素(SiO)である、請求項1記載の方法。
  8. 基板を処理する方法において:
    前記基板上に形成されたゲート誘電層に窒素(N)を導入するステップと;
    前記窒素が導入されるときの前記基板の温度を超える800℃まで、60℃/秒より大きいランプ率で、前記基板を加熱することにより、前記窒素を導入した後、2分以内に前記ゲート誘電層をアニールするステップと;を備え、前記アニールの間、圧力は、100トルである、前記方法。
  9. 前記窒素が導入された後、1分以内に前記基板がアニールされる、請求項記載の方法。
  10. 半導体ウエハを処理する為のシステムであって:
    搬送チャンバと;
    前記搬送チャンバ内のロボットと;
    前記搬送チャンバに通じる窒化用チャンバと;
    前記搬送チャンバに通じるアニール用チャンバと;
    (i)前記ロボットでウエハを前記窒化用チャンバ内に搬送し、(ii)前記窒化用チャンバ内の各ウエハ上に形成された誘電層内に窒素を導入し、(iii)前記ロボットで各ウエハを前記窒化用チャンバから、前記搬送チャンバを介して、前記アニール用チャンバに搬送し、(iv)前記窒素が導入されるときの前記基板の温度を超える800℃まで、60℃/秒より大きいランプ率で、前記基板を加熱することにより、前記窒素が前記誘電層内に導入された後5分以内に前記アニール用チャンバ内で前記誘電層をアニールし、(v)前記アニールの間、100トルに前記アニール用チャンバ内の圧力を維持するようにプログラムされた制御システムと;を備える、前記システム。
JP2012093839A 2002-06-12 2012-04-17 プラズマ窒化ゲート誘電層における窒素プロフィルを改善する方法 Expired - Lifetime JP5586651B2 (ja)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US38859902P 2002-06-12 2002-06-12
US60/388,599 2002-06-12
US39976502P 2002-07-30 2002-07-30
US60/399,765 2002-07-30

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2004514121A Division JP2005530344A (ja) 2002-06-12 2003-06-12 プラズマ窒化ゲート誘電層における窒素プロフィルを改善する方法

Publications (2)

Publication Number Publication Date
JP2012199555A JP2012199555A (ja) 2012-10-18
JP5586651B2 true JP5586651B2 (ja) 2014-09-10

Family

ID=29740043

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2004514121A Withdrawn JP2005530344A (ja) 2002-06-12 2003-06-12 プラズマ窒化ゲート誘電層における窒素プロフィルを改善する方法
JP2012093839A Expired - Lifetime JP5586651B2 (ja) 2002-06-12 2012-04-17 プラズマ窒化ゲート誘電層における窒素プロフィルを改善する方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2004514121A Withdrawn JP2005530344A (ja) 2002-06-12 2003-06-12 プラズマ窒化ゲート誘電層における窒素プロフィルを改善する方法

Country Status (6)

Country Link
US (1) US7122454B2 (ja)
EP (1) EP1512170A2 (ja)
JP (2) JP2005530344A (ja)
KR (1) KR101118462B1 (ja)
CN (1) CN100380595C (ja)
WO (1) WO2003107399A2 (ja)

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7067439B2 (en) * 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US7429540B2 (en) * 2003-03-07 2008-09-30 Applied Materials, Inc. Silicon oxynitride gate dielectric formation using multiple annealing steps
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
KR100624290B1 (ko) * 2004-06-14 2006-09-19 에스티마이크로일렉트로닉스 엔.브이. 플래쉬 메모리 소자의 제조 방법
US7709814B2 (en) * 2004-06-18 2010-05-04 Axcelis Technologies, Inc. Apparatus and process for treating dielectric materials
CN101048858B (zh) 2004-11-04 2010-11-03 东京毅力科创株式会社 绝缘膜形成方法及基板处理方法
JP2006278531A (ja) * 2005-03-28 2006-10-12 Toshiba Corp 工程管理システム、工程管理方法及び半導体装置の製造方法
US7429538B2 (en) * 2005-06-27 2008-09-30 Applied Materials, Inc. Manufacturing method for two-step post nitridation annealing of plasma nitrided gate dielectric
US20070010103A1 (en) * 2005-07-11 2007-01-11 Applied Materials, Inc. Nitric oxide reoxidation for improved gate leakage reduction of sion gate dielectrics
US7550381B2 (en) * 2005-07-18 2009-06-23 Applied Materials, Inc. Contact clean by remote plasma and repair of silicide surface
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US20070049043A1 (en) * 2005-08-23 2007-03-01 Applied Materials, Inc. Nitrogen profile engineering in HI-K nitridation for device performance enhancement and reliability improvement
US8454749B2 (en) * 2005-12-19 2013-06-04 Tokyo Electron Limited Method and system for sealing a first assembly to a second assembly of a processing system
JP5070702B2 (ja) 2006-01-19 2012-11-14 富士通セミコンダクター株式会社 半導体装置の製造方法及び製造装置
US7964514B2 (en) * 2006-03-02 2011-06-21 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
US7645710B2 (en) 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7678710B2 (en) 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7837838B2 (en) 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
JPWO2007132884A1 (ja) * 2006-05-17 2009-09-24 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
TWI435376B (zh) 2006-09-26 2014-04-21 Applied Materials Inc 用於缺陷鈍化之高k閘極堆疊的氟電漿處理
US20080119057A1 (en) * 2006-11-20 2008-05-22 Applied Materials,Inc. Method of clustering sequential processing for a gate stack structure
JP4820801B2 (ja) * 2006-12-26 2011-11-24 株式会社Sumco 貼り合わせウェーハの製造方法
US7638442B2 (en) * 2008-05-09 2009-12-29 Promos Technologies, Inc. Method of forming a silicon nitride layer on a gate oxide film of a semiconductor device and annealing the nitride layer
CN102194727B (zh) * 2010-03-11 2013-09-04 中芯国际集成电路制造(上海)有限公司 一种改进的机器人伸缩臂
JP6038503B2 (ja) * 2011-07-01 2016-12-07 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
CN107154354B (zh) 2016-03-03 2020-12-11 上海新昇半导体科技有限公司 晶圆热处理的方法
US10510545B2 (en) 2016-06-20 2019-12-17 Applied Materials, Inc. Hydrogenation and nitridization processes for modifying effective oxide thickness of a film
US10103027B2 (en) 2016-06-20 2018-10-16 Applied Materials, Inc. Hydrogenation and nitridization processes for modifying effective oxide thickness of a film
US10847360B2 (en) * 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
CN109541140A (zh) * 2018-11-23 2019-03-29 上海华力微电子有限公司 一种监测缓冲腔体氧气浓度的方法
US11830725B2 (en) 2020-01-23 2023-11-28 Applied Materials, Inc. Method of cleaning a structure and method of depositing a capping layer in a structure

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4913929A (en) * 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
JPH05160114A (ja) * 1991-12-06 1993-06-25 Oki Electric Ind Co Ltd 絶縁膜形成方法
TW276353B (ja) * 1993-07-15 1996-05-21 Hitachi Seisakusyo Kk
JP3338915B2 (ja) * 1994-10-13 2002-10-28 富士通株式会社 半導体装置の製造方法
JP3644036B2 (ja) * 1995-02-15 2005-04-27 株式会社日立製作所 半導体装置の製造方法および半導体製造装置
JP2871530B2 (ja) * 1995-05-10 1999-03-17 日本電気株式会社 半導体装置の製造方法
JPH09153616A (ja) * 1995-09-28 1997-06-10 Toshiba Corp 半導体装置およびその製造方法
JPH09219400A (ja) * 1995-12-07 1997-08-19 Applied Materials Inc 絶縁膜形成方法
US6110842A (en) * 1996-06-07 2000-08-29 Texas Instruments Incorporated Method of forming multiple gate oxide thicknesses using high density plasma nitridation
EP0847079A3 (en) * 1996-12-05 1999-11-03 Texas Instruments Incorporated Method of manufacturing an MIS electrode
JP3899150B2 (ja) * 1996-12-05 2007-03-28 シャープ株式会社 絶縁膜の形成方法
JP3191728B2 (ja) * 1997-06-23 2001-07-23 日本電気株式会社 半導体装置及びその製造方法
JP3063898B2 (ja) * 1997-09-22 2000-07-12 日本電気株式会社 半導体装置の製造方法
US6140024A (en) * 1997-12-31 2000-10-31 Texas Instruments Incorporated Remote plasma nitridation for contact etch stop
US6090653A (en) * 1998-03-30 2000-07-18 Texas Instruments Method of manufacturing CMOS transistors
US6143593A (en) * 1998-09-29 2000-11-07 Conexant Systems, Inc. Elevated channel MOSFET
US6255231B1 (en) * 1998-10-02 2001-07-03 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming a gate oxide layer
US6245616B1 (en) * 1999-01-06 2001-06-12 International Business Machines Corporation Method of forming oxynitride gate dielectric
JP4403321B2 (ja) * 1999-01-25 2010-01-27 ソニー株式会社 酸化膜の形成方法及びp形半導体素子の製造方法
JP4255563B2 (ja) * 1999-04-05 2009-04-15 東京エレクトロン株式会社 半導体製造方法及び半導体製造装置
JP2000349285A (ja) * 1999-06-04 2000-12-15 Hitachi Ltd 半導体集積回路装置の製造方法および半導体集積回路装置
JP4582837B2 (ja) * 1999-09-09 2010-11-17 シャープ株式会社 半導体装置の製造方法
US6342437B1 (en) * 2000-06-01 2002-01-29 Micron Technology, Inc. Transistor and method of making the same
JP2002009169A (ja) * 2000-06-20 2002-01-11 Nec Corp 半導体装置とその製造方法
US6800830B2 (en) * 2000-08-18 2004-10-05 Hitachi Kokusai Electric, Inc. Chemistry for boron diffusion barrier layer and method of application in semiconductor device fabrication
KR100746120B1 (ko) * 2001-01-22 2007-08-13 동경 엘렉트론 주식회사 반도체 디바이스의 제조 방법, 플라즈마 처리 방법, 및게이트 절연막 형성 방법

Also Published As

Publication number Publication date
CN1656604A (zh) 2005-08-17
US7122454B2 (en) 2006-10-17
KR101118462B1 (ko) 2012-03-06
JP2005530344A (ja) 2005-10-06
WO2003107399A3 (en) 2004-03-25
CN100380595C (zh) 2008-04-09
US20040038487A1 (en) 2004-02-26
JP2012199555A (ja) 2012-10-18
KR20050010782A (ko) 2005-01-28
WO2003107399A2 (en) 2003-12-24
EP1512170A2 (en) 2005-03-09

Similar Documents

Publication Publication Date Title
JP5586651B2 (ja) プラズマ窒化ゲート誘電層における窒素プロフィルを改善する方法
US7632758B2 (en) Process and apparatus for forming oxide film, and electronic device material
KR101122347B1 (ko) 절연막의 형성 방법 및 반도체 장치의 제조 방법
US6821566B2 (en) Method and apparatus for forming insulating film containing silicon oxy-nitride
US7915179B2 (en) Insulating film forming method and substrate processing method
KR100945770B1 (ko) 실리콘 산화막의 형성 방법, 반도체 장치의 제조 방법 및컴퓨터 기억 매체
JP2012094879A (ja) シリコン/金属複合膜堆積物を選択的に酸化するための方法及び装置
US8158535B2 (en) Method for forming insulating film and method for manufacturing semiconductor device
US20030124873A1 (en) Method of annealing an oxide film
US8247331B2 (en) Method for forming insulating film and method for manufacturing semiconductor device
JP2012216631A (ja) プラズマ窒化処理方法
JP4522916B2 (ja) プラズマ窒化処理方法、制御プログラム、コンピュータ記憶媒体およびプラズマ処理装置
JP5166297B2 (ja) 酸化珪素膜の形成方法、半導体メモリ装置の製造方法およびコンピュータ読み取り可能な記憶媒体
WO2018055730A1 (ja) 基板処理装置、半導体装置の製造方法および記録媒体
TW201303999A (zh) 電漿處理方法及元件分離方法
JP2011066187A (ja) 成膜方法及び処理システム

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130606

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130730

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131018

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20140114

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140514

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20140521

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20140624

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20140722

R150 Certificate of patent or registration of utility model

Ref document number: 5586651

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term