JP4886163B2 - Manufacturing method of semiconductor device - Google Patents

Manufacturing method of semiconductor device Download PDF

Info

Publication number
JP4886163B2
JP4886163B2 JP2003208569A JP2003208569A JP4886163B2 JP 4886163 B2 JP4886163 B2 JP 4886163B2 JP 2003208569 A JP2003208569 A JP 2003208569A JP 2003208569 A JP2003208569 A JP 2003208569A JP 4886163 B2 JP4886163 B2 JP 4886163B2
Authority
JP
Japan
Prior art keywords
film
oxide film
polysilicon film
manufacturing
polysilicon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2003208569A
Other languages
Japanese (ja)
Other versions
JP2004172567A (en
Inventor
占 壽 金
正 烈 安
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SK Hynix Inc
Original Assignee
Hynix Semiconductor Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hynix Semiconductor Inc filed Critical Hynix Semiconductor Inc
Publication of JP2004172567A publication Critical patent/JP2004172567A/en
Application granted granted Critical
Publication of JP4886163B2 publication Critical patent/JP4886163B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66825Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a floating gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42324Gate electrodes for transistors with a floating gate

Description

【0001】
【発明の属する技術分野】
本発明は、半導体素子の製造方法に係り、特に、自己整列方法でフローティングゲートを形成するフラッシュ素子のフローティングゲート形成方法に関する。
【0002】
【従来の技術】
最近、デザインルール及び素子サイズが減少することにより、ETOX(EEPROM Tunnel Oxide)セルにおいてフローティングゲート間の間隔及びカップリングに最も大きい影響を及ぼすフィールド酸化膜(Field Oxide; FOX)オーバーラップの調節に困っている。一般にSTI工程を用いてフラッシュメモリーセルを実現しているが、フローティングゲートのアイソレーションを行う際にマスクを用いたパターニング工程の作業は、マスク臨界寸法(Critical Dimension; CD)の変化によるウェーハの均一化が容易でないため、素子間のカップリング比が均一ではないという問題点が生じる。また、フラッシュメモリー素子のプログラム及び消去の際に高いバイアス電圧を印加すると、不均一なフローティングゲートによってフラッシュメモリー素子の欠陥が発生する。アイソレーションマスクとポリマスクとの間に整列誤差及びマスク工程の増加は、歩留りの低下とコストアップの原因になっている。
【0003】
【発明が解決しようとする課題】
そこで本発明は、かかる従来の問題点を解決するためのもので、その目的は、フローティングゲートを形成するためのトンネル酸化膜及び第1ポリシリコン膜膜を蒸着した状態でパターニング工程を行ってSTI構造の素子分離膜を形成し、第1ポリシリコン膜膜上に第2ポリシリコン膜膜を蒸着してフローティングゲートを形成することにより、マスク工程を使用することなく、フローティングゲートを形成することができ、小さなサイズのフローティングゲートを形成することができる半導体素子の製造方法を提供することにある。
【0004】
【課題を解決するための手段】
上記目的を達成するために、本発明は、半導体基板上にトンネル酸化膜、第1ポリシリコン膜及びパッド窒化膜を順次形成する段階と、パターニング工程によって前記パッド窒化膜、前記第1ポリシリコン膜、前記トンネル酸化膜及び前記半導体基板の一部をエッチングして前記半導体基板内にトレンチを形成する段階と、前記トレンチを含む全体構造上に酸化膜を蒸着した後、前記パッド窒化膜が露出するように前記酸化膜を平坦化する段階と、前記パッド窒化膜をエッチングして酸化膜凸部を形成する段階と、DHFまたはSC-1を利用した第1前処理洗浄工程によって前記酸化膜凸部の一部及び前記第1ポリシリコン膜の一部をエッチングする段階と、全体構造上に第2ポリシリコン膜を蒸着した後、前記酸化膜凸部が露出するように前記第2ポリシリコン膜を平坦化する段階と、カップリング比を改善させるためにHFまたはBOEを利用した第2前処理洗浄工程によって前記露出した酸化膜凸部の一部をエッチングして前記第1及び第2ポリシリコン膜からなるフローティングゲートを形成した後、誘電体膜及びコントロールゲートを形成する段階とを含んでなることを特徴とする。
【0005】
【発明の実施の形態】
以下、添付図を参照して本発明の実施例をさらに詳しく説明する。ところが、本発明は、下記の実施例に限定されるものではなく、様々な変形実現が可能である。これらの実施例は本発明の開示を完全にし、当技術分野で通常の知識を有する者に本発明の範疇を知らせるために提供されるものである。一方、図面上において、同一の符号は同一の要素を示す。
【0006】
図1〜図4は本発明に係る半導体素子の製造方法を説明するための断面図である。
【0007】
図1(a)を参照すると、半導体基板10上に、基板表面の結晶欠陥抑制または表面処理及びイオン注入の際にバッファー層の役割をするスクリーン酸化膜(図示せず)を蒸着した後イオン注入を行ってウェルを形成する。前記スクリーン酸化膜を除去した後、トンネル酸化膜12、第1ポリシリコン膜14及びパッド窒化膜16を蒸着する。
【0008】
具体的に、前記スクリーン酸化膜の形成の前に、半導体基板10の洗浄のために、50:1のH2OとHFとの混合比率を有するDHF(Dilute HF)、NH4OH、H2O2及びH2OからなるSC-1(Standard Cleaning-1)とを用いて、或いは100:1〜300:1のNH4FとHFとの混合比率を有するBOE(Buffered Oxide Etch)とNH4OH、H2O2及びH2OからなるSC-1とを用いて前処理洗浄工程を行う。750〜800℃の温度範囲内でドライまたはウェット酸化を行って厚さ30〜100Åの前記スクリーン酸化膜を形成する。イオン注入の後、50:1のH2OとHFとの混合比率を有するDHF、NH4OH、H2O2及びH2OからなるSC-1とを用いて前記スクリーン酸化膜をエッチングする。トンネル酸化膜12を750〜800℃の温度でウェット酸化方式によって85〜110Åの厚さに形成し、トンネル酸化膜12の蒸着後900〜910℃の温度でN2を用いて20〜30分間熱処理工程を行うことにより、トンネル酸化膜12と半導体基板10間の界面の欠陥密度を最小化する。トンネル酸化膜12上に、530〜680℃の温度と0.1〜3.0torrの圧力下でCVD(Chemical Vapor Deposition)、LPCVD(Low Pressure CVD)、PECVD(Plasma Enhanced CVD)又はAPCVD(Atmospheric Pressure CVD)法で、SiH4またはSi2H6とPH3ガスを用いて厚さ200〜1000Åの第1ポリシリコン膜14を蒸着する。かくして、第1ポリシリコン膜14の粒度が最小化されることにより、電界集中を防止することができる。第1ポリシリコン膜14上にLP-CVD法で厚さ約1300〜3000Åのパッド窒化膜16を形成する。
【0009】
図1(b)を参照すると、パッド窒化膜16、第1ポリシリコン膜14、トンネル酸化膜12及び半導体基板10をISOマスクパターニング(Isolation mask patterning)によって順次エッチングしてSTI(Shallow Trench Isolation)構造のトレンチ18を形成することで活性領域とフィールド領域を定義する。STI構造のトレンチ18側壁のエッチングダメージを補償するためのドライ酸化工程を行い、急速熱処理工程(Rapid Thermal Process)を行ってトレンチ18のコーナー部分をラウンディングする。全体構造上に高温酸化膜(High Temperature Oxide; HTO)を薄く蒸着して高温で緻密化工程を行い、ライナー酸化膜(図示せず)を形成する。
【0010】
具体的に、全体構造上に感光膜を塗布した後、感光膜マスクを用いたフォトリソグラフィ工程を行って感光膜パターン(図示せず)を形成する。前記感光膜パターンをエッチングマスクとするエッチング工程を行ってパッド窒化膜16、第1ポリシリコン膜14、トンネル酸化膜12及び半導体基板10をエッチングしてSTI構造のトレンチ18を形成する。前記エッチング工程によるトレンチ18側壁のダメージを補償するために800〜1000℃の温度範囲内でドライ酸化工程を行って側壁酸化膜を50〜150Åの厚さに形成する。水素を用いた急速熱処理工程を行って(すなわち、半導体基板の原子移動性質を利用)トレンチコーナー部分と角が立った部分をラウンディングすることにより、電場集中を抑制して素子の動作特性を向上させる。急速熱処理工程は、高速熱処理(Fast Thermal Process; FTP)型装備で600〜1050℃の温度範囲と300〜380torrの圧力下で100〜2000sccmの水素ガスを流入して5〜15分間行う。
【0011】
後続工程の酸化膜とトレンチ18との接着特性を向上させるとともにモウト(Moat)の発生を防止するために、DCS(Dichloro Silane; SiH2Cl2)ガスを用いて形成されたHTOを50〜150Åの厚さに蒸着した後、1000〜1100℃の温度でN2を用いて20〜30分間高温緻密化工程を行い、ライナー酸化膜(図示せず)を形成する。高温緻密化工程によりライナー酸化膜の組職が緻密になってエッチング抵抗性を増加させ、STIの実現の際にモウト形成を抑制し、しかも漏洩電流を防止する役割を果たす。
【0012】
図2(a)を参照すると、全体構造上に高密度プラズマ(High Density Plasma; HDP)酸化膜20を蒸着してトレンチ18の内部を埋め込む。パッド窒化膜16を停止層とする平坦化工程を行う。パッド窒化膜16をエッチング停止層として、パッド窒化膜16上のHDP酸化膜20及びライナー酸化膜を除去するための平坦化工程を行う。
【0013】
具体的に、トレンチ18の空白を満たすために厚さ5000〜10000Åの範囲でHDP(High Density Plasma)酸化膜20を形成する。この際、トレンチ18内部に空間が形成されないように前記HDP酸化膜20を蒸着する。CMPを用いた平坦化工程を行った後、パッド窒化膜16上に残存する可能性のある酸化膜を除去するために、BOEまたはHFを用いたポスト洗浄工程を行う。この際、オーバーエッチングに起因する前記HDP酸化膜20の高さの減少を最大限抑制する必要がある。
【0014】
図2(b)を参照すると、パッド窒化膜16をリン酸ディップアウト(H3PO4 dip out)を用いた窒化膜ストリップ工程を行うことにより、HDP酸化膜凸部22を形成する。パッド窒化膜16のストリップの際、HDP酸化膜凸部22は第1ポリシリコン膜14から700〜2500Åの高さにとなるようにする。この際、第1ポリシリコン膜14とフィールド酸化膜の段差は、後続工程で形成される第2ポリシリコン膜の厚さ程度に200〜300Å程度の小さい厚さを持つように残す。
【0015】
図3(a)を参照すると、第1前処理洗浄工程を行った後、全体構造上に第2ポリシリコン膜24を蒸着する。平坦化工程を行ってHDP酸化膜凸部22上に形成された第2ポリシリコン膜24を除去することにより、フローティングゲート電極26を形成する。具体的に、DHFとSC-1を用いた前処理ウェット洗浄工程を行い、フィールド酸化膜とポリシリコン膜とのオーバーラップを形成する。この時、ウェット洗浄時間を調節してセル地域のモウト形状及び第1ポリシリコン膜14下部のトンネル酸化膜12の損失を防止する。また、ウェット洗浄工程によってHDP酸化膜凸部22に対向する第1ポリシリコン膜14の厚さの2/3程度(100〜700Å)が開放されるように、ウェット洗浄工程を制御する。第1ポリシリコン膜14と同一の材質の第2ポリシリコン膜24を800〜2500Åの厚さに蒸着してHDP酸化膜凸部22を埋め込む。PE-CVD法を用いて、PE-TEOS(Plasma Enhansed Tetra Ethyle Ortho Silicate)、PE-Nitride、PSG(Phosphorus Silicate Glass)及びBPSG(Boron Phosphorus Silicate Glass)のようなバッファー層(図示せず)を形成し、CMPを用いた平坦化工程で発生するおそれのあるバラツキを防止する。前記バッファー層は100〜1000Åの厚さに蒸着する。
【0016】
化学的機械的研磨によってHDP酸化膜凸部22上のバッファー層と第2ポリシリコン膜24を除去して第2ポリシリコン膜24を孤立させることにより、第1及び第2ポリシリコン膜14、24からなるフローティングゲート電極26を形成する。また、化学的機械的研磨によってフローティングゲート電極(第1及び第2ポリシリコン膜の全厚)を厚さ1000〜2500Åの範囲で均一に残留させる。
【0017】
図3(b)を参照すると、CMP工程後の第2前処理洗浄工程でHFまたはBOEを用いて、露出したHDP酸化膜凸部22を厚さ500〜2000Åだけ除去する。これにより、既存のマスキング方式によって実現する時より小さなフローティングゲート電極26の幅と表面積を形成してカップリング比を大きくすることができる。
【0018】
図4を参照すると、誘電体膜28を全体構造の段差に沿って形成した後、コントロールゲートを形成するための第3ポリシリコン膜30とタングステンシリサイド(WSi)膜32を順次蒸着する。具体的に、半導体素子に使われる多様な形態の誘電体膜を蒸着するが、本実施例ではONO(酸化膜/窒化膜/酸化膜(SiO2-Si3N4-SiO2)またはONON構造の誘電体膜28を蒸着する。ONO構造の誘電体膜28において、ONO構造における酸化膜は、耐圧及びTDDB特性に優れたDCS(SiH2Cl2)とN2Oガスを用いて0.1〜3torrの低圧と810〜850℃程度の温度下で約35〜60Åの厚さにLP-CVD法によって蒸着する。
【0019】
また、ONO構造における窒化膜は、DCSとNH3ガスを用いて1〜3torrの低圧と650〜800℃程度の温度下で約50〜65Åの厚さにLP-CVD法によって蒸着する。前記ONO工程を行った後、ONO酸化膜の質を向上させるとともに各階間のインタフェースを強化させるために、ウェット酸化方式で約750〜800℃の温度でモニタリングウェーハを基準として約150〜300Åの厚さに酸化するようにスチームアニールを行うことができる。ひいては、前記ONO工程と前記スチームアニールを行う際、各工程間の遅延時間が数時間以内の工程を行って自然酸化膜または不純物による汚染を防止する。
【0020】
第3ポリシリコン膜30は、タングステンシリサイド膜32を蒸着する際に誘電体膜28に置換固溶されて酸化膜の膜厚を増加させることが可能なフッ酸の拡散を防止するために、ドープされた膜とドープされていない膜(doped and undoped)の2重膜構造で、約510〜550℃の温度と1.0〜3torrの圧力下でLP-CVD法によってアモルファスシリコン膜で蒸着する。この時、ドープされた膜とドープされていない膜との比率を1:2〜6:1とし、フローティングゲート電極26同士の間の空間が十分埋め込まれるように約500〜1000Åの厚さに前記非晶質シリコン膜を形成することにより、後続のタングステンシリサイド膜32の蒸着時に隙間形成を抑制してワードライン抵抗Rsを減少させることができる。前記2重構造の第3ポリシリコン膜層を形成する際、SiH4またはSi2H6とPH3ガスを用いて、ドープされた膜を形成し、その後PH3ガスを遮断して、連続的にドープされていない膜を形成することが望ましい。
【0021】
タングステンシリサイド膜32は、低いフッ素含有率と低いポストアニールドストレス(post annealed stress)並びに良好な接着強度を有するMS(SiH4)またはDCS(SiH2Cl2)とWF6との反応を用いて、300〜500℃の温度で適切なステップカバレッジ(step coverage)を実現し、ワードライン抵抗Rsを最小化することが可能な化学量論比である2.0〜2.8程度に成長させた方が良い。タングステンシリサイド膜32上にSiOxNyまたはSi3N4を用いてARC層(図示せず)を蒸着し、ゲートマスクとエッチング(Gate mask and etching)工程と、セルフアラインマスクとエッチング(Self aligned mask and etching)工程を行い、コントロールゲート電極を形成する。
【0022】
【発明の効果】
以上説明したように、本発明は既存のマスクとエッチング工程によってフローティングゲートを形成した代わりに、フィールド酸化膜上に酸化膜凸部を形成し、酸化膜凸部同士の間にフローティングゲートを形成することにより、素子の臨界寸法を最小化し、素子の大きさを容易に調節でき、ウェーハ全体にわたって均一なフローティングゲートを形成することができる。また、均一なフローティングゲートによってセル間のカップリング比の差を減らすことにより、フラッシュメモリー素子の特性を向上させることができ、活性臨界寸法を減らすことにより、カップリング比を極大化することができる。
【0023】
また、マスキング工程を減らすことにより、マスキング工程から発生するおそれのある問題点を解決することができ、工程の単純化を図ることができ、歩留り向上とコストダウンを実現することができる。また、酸化膜凸部の高さ及び間隔を調節することにより、多様な工程マージンを容易に確保することができる。
【図面の簡単な説明】
【図1】 本発明に係る半導体素子の製造方法を説明するための断面図である。
【図2】 本発明に係る半導体素子の製造方法を説明するための断面図である。
【図3】 本発明に係る半導体素子の製造方法を説明するための断面図である。
【図4】 本発明に係る半導体素子の製造方法を説明するための断面図である。
【符号の説明】
10 …半導体基板
12 …トンネル酸化膜
14 …第1ポリシリコン膜
16 …パッド窒化膜
18 …トレンチ
20 …HDP酸化膜
22 …HDP酸化膜凸部
24 …第2ポリシリコン膜
26 …フローティングゲート電極
28 …誘電体膜
30 …第3ポリシリコン膜
32 …タングステンシリサイド膜
[0001]
BACKGROUND OF THE INVENTION
The present invention relates to a method of manufacturing a semiconductor device, and more particularly, to a method of forming a floating gate of a flash device that forms a floating gate by a self-alignment method.
[0002]
[Prior art]
Due to the recent decrease in design rules and device size, it is difficult to adjust the field oxide (FOX) overlap that has the greatest effect on the spacing and coupling between floating gates in ETOX (EEPROM Tunnel Oxide) cells. ing. In general, flash memory cells are realized using the STI process, but the patterning process using a mask when isolating floating gates is performed by changing the critical dimension (CD) of the wafer. Since this is not easy, the problem arises that the coupling ratio between elements is not uniform. Further, when a high bias voltage is applied during programming and erasing of the flash memory device, the flash memory device is defective due to the non-uniform floating gate. An alignment error between the isolation mask and the poly mask and an increase in the mask process cause a decrease in yield and an increase in cost.
[0003]
[Problems to be solved by the invention]
Therefore, the present invention is to solve such a conventional problem, and its purpose is to perform a patterning process in a state where a tunnel oxide film and a first polysilicon film for forming a floating gate are deposited, and to perform STI. A floating gate can be formed without using a mask process by forming an element isolation film having a structure and depositing a second polysilicon film on the first polysilicon film to form a floating gate. Another object of the present invention is to provide a method for manufacturing a semiconductor device capable of forming a floating gate having a small size.
[0004]
[Means for Solving the Problems]
In order to achieve the above object, the present invention provides a step of sequentially forming a tunnel oxide film, a first polysilicon film and a pad nitride film on a semiconductor substrate, and a patterning process to form the pad nitride film and the first polysilicon film. Etching a part of the tunnel oxide film and the semiconductor substrate to form a trench in the semiconductor substrate; and depositing an oxide film on the entire structure including the trench, and then exposing the pad nitride film. Leveling the oxide film, etching the pad nitride film to form an oxide film protrusion, and a first pretreatment cleaning process using DHF or SC-1 to form the oxide film protrusion. Etching a part of the first polysilicon film and a part of the first polysilicon film, and depositing a second polysilicon film on the entire structure, and then exposing the second oxide film so that the oxide film protrusion is exposed. The first and second oxide film protrusions are etched by planarizing the polysilicon film and etching the exposed oxide film protrusions in a second pretreatment cleaning process using HF or BOE to improve the coupling ratio. Forming a dielectric film and a control gate after forming a floating gate made of two polysilicon films.
[0005]
DETAILED DESCRIPTION OF THE INVENTION
Hereinafter, embodiments of the present invention will be described in more detail with reference to the accompanying drawings. However, the present invention is not limited to the following embodiments, and various modifications can be realized. These examples are provided to complete the disclosure of the present invention and to inform those of ordinary skill in the art of the scope of the present invention. On the other hand, the same code | symbol shows the same element on drawing.
[0006]
1 to 4 are cross-sectional views for explaining a method for manufacturing a semiconductor device according to the present invention.
[0007]
Referring to FIG. 1A, after a screen oxide film (not shown) serving as a buffer layer is deposited on a semiconductor substrate 10 to suppress crystal defects on the substrate surface or to perform surface treatment and ion implantation, ion implantation is performed. To form a well. After removing the screen oxide film, a tunnel oxide film 12, a first polysilicon film 14 and a pad nitride film 16 are deposited.
[0008]
Specifically, DHF (Dilute HF), NH 4 OH, H 2 having a mixing ratio of 50: 1 H 2 O and HF is used to clean the semiconductor substrate 10 before the screen oxide film is formed. Using SC-1 (Standard Cleaning-1) consisting of O 2 and H 2 O, or BOE (Buffered Oxide Etch) and NH having a mixing ratio of NH 4 F and HF of 100: 1 to 300: 1 4 A pretreatment cleaning step is performed using SC-1 composed of OH, H 2 O 2 and H 2 O. The screen oxide film having a thickness of 30 to 100 mm is formed by dry or wet oxidation within a temperature range of 750 to 800 ° C. After the ion implantation, the screen oxide film is etched using SC-1 made of DHF, NH 4 OH, H 2 O 2 and H 2 O having a mixing ratio of H 2 O and HF of 50: 1. . Tunnel oxide film 12 is formed to a thickness of 85 to 110 mm by wet oxidation method at a temperature of 750 to 800 ° C., and heat treatment is performed using N 2 at a temperature of 900 to 910 ° C. for 20 to 30 minutes after deposition of tunnel oxide film 12 By performing the process, the defect density at the interface between the tunnel oxide film 12 and the semiconductor substrate 10 is minimized. On the tunnel oxide film 12, CVD (Chemical Vapor Deposition), LPCVD (Low Pressure CVD), PECVD (Plasma Enhanced CVD) or APCVD (Atmospheric Pressure CVD) method at a temperature of 530-680 ° C and a pressure of 0.1-3.0 torr Then, a first polysilicon film 14 having a thickness of 200 to 1000 mm is deposited using SiH 4 or Si 2 H 6 and PH 3 gas. Thus, electric field concentration can be prevented by minimizing the grain size of the first polysilicon film 14. A pad nitride film 16 having a thickness of about 1300 to 3000 mm is formed on the first polysilicon film 14 by LP-CVD.
[0009]
Referring to FIG. 1B, the pad nitride film 16, the first polysilicon film 14, the tunnel oxide film 12, and the semiconductor substrate 10 are sequentially etched by ISO mask patterning to form an STI (Shallow Trench Isolation) structure. The active region and the field region are defined by forming the trench 18. A dry oxidation process is performed to compensate for etching damage on the sidewall of the trench 18 having the STI structure, and a corner portion of the trench 18 is rounded by performing a rapid thermal process. A high-temperature oxide film (High Temperature Oxide; HTO) is thinly deposited on the entire structure and a densification process is performed at a high temperature to form a liner oxide film (not shown).
[0010]
Specifically, after a photosensitive film is applied on the entire structure, a photolithography process using a photosensitive film mask is performed to form a photosensitive film pattern (not shown). The pad nitride film 16, the first polysilicon film 14, the tunnel oxide film 12 and the semiconductor substrate 10 are etched by performing an etching process using the photosensitive film pattern as an etching mask to form a trench 18 having an STI structure. In order to compensate for the damage on the sidewall of the trench 18 due to the etching process, a dry oxidation process is performed in a temperature range of 800 to 1000 ° C. to form a sidewall oxide film having a thickness of 50 to 150 mm. Performs rapid thermal processing using hydrogen (that is, uses the atomic mobility of the semiconductor substrate) and rounds the corners and the corners of the trenches, thereby suppressing the electric field concentration and improving the device operating characteristics. Let The rapid heat treatment process is performed for 5 to 15 minutes by injecting 100 to 2000 sccm of hydrogen gas at a temperature range of 600 to 1050 ° C. and a pressure of 300 to 380 torr with a fast thermal process (FTP) type equipment.
[0011]
In order to improve the adhesion characteristics between the oxide film and the trench 18 in the subsequent process and to prevent the generation of moat, HTO formed using DCS (Dichloro Silane; SiH 2 Cl 2 ) gas is 50 to 150 mm. Then, a high-temperature densification step is performed using N 2 at a temperature of 1000 to 1100 ° C. for 20 to 30 minutes to form a liner oxide film (not shown). The high temperature densification process makes the liner oxide film dense and increases the etching resistance, and suppresses the formation of moat and prevents leakage current when realizing STI.
[0012]
Referring to FIG. 2A, a high density plasma (HDP) oxide film 20 is deposited on the entire structure to fill the trench 18. A planarization process is performed using the pad nitride film 16 as a stop layer. A planarization process for removing the HDP oxide film 20 and the liner oxide film on the pad nitride film 16 is performed using the pad nitride film 16 as an etching stop layer.
[0013]
Specifically, an HDP (High Density Plasma) oxide film 20 is formed in a thickness range of 5000 to 10,000 mm in order to fill the space in the trench 18. At this time, the HDP oxide film 20 is deposited so that no space is formed inside the trench 18. After performing the planarization process using CMP, a post-cleaning process using BOE or HF is performed in order to remove an oxide film that may remain on the pad nitride film 16. At this time, it is necessary to suppress the decrease in the height of the HDP oxide film 20 due to overetching to the maximum.
[0014]
Referring to FIG. 2B, the pad nitride film 16 is subjected to a nitride film strip process using phosphoric acid dip out (H 3 PO 4 dip out), thereby forming an HDP oxide film convex portion 22. When the pad nitride film 16 is stripped, the HDP oxide film protrusion 22 is set to a height of 700 to 2500 mm from the first polysilicon film 14. At this time, the step between the first polysilicon film 14 and the field oxide film is left to have a thickness as small as about 200 to 300 mm as much as the thickness of the second polysilicon film formed in the subsequent process.
[0015]
Referring to FIG. 3A, after the first pretreatment cleaning step, a second polysilicon film 24 is deposited on the entire structure. A floating gate electrode 26 is formed by removing the second polysilicon film 24 formed on the HDP oxide film projection 22 by performing a planarization process. Specifically, a pretreatment wet cleaning process using DHF and SC-1 is performed to form an overlap between the field oxide film and the polysilicon film. At this time, the wet cleaning time is adjusted to prevent the moat shape in the cell region and the loss of the tunnel oxide film 12 below the first polysilicon film 14. Further, the wet cleaning process is controlled so that about 2/3 (100 to 700 mm) of the thickness of the first polysilicon film 14 facing the HDP oxide film convex portion 22 is opened by the wet cleaning process. A second polysilicon film 24 made of the same material as that of the first polysilicon film 14 is deposited to a thickness of 800 to 2500 mm to embed the HDP oxide film projections 22. PE-CVD method is used to form buffer layers (not shown) such as PE-TEOS (Plasma Enhansed Tetra Ethyle Ortho Silicate), PE-Nitride, PSG (Phosphorus Silicate Glass) and BPSG (Boron Phosphorus Silicate Glass) In addition, variation that may occur in the planarization process using CMP is prevented. The buffer layer is deposited to a thickness of 100 to 1000 mm.
[0016]
The first and second polysilicon films 14, 24 are isolated by removing the buffer layer and the second polysilicon film 24 on the HDP oxide film protrusions 22 by chemical mechanical polishing and isolating the second polysilicon film 24. A floating gate electrode 26 made of is formed. Further, the floating gate electrode (total thickness of the first and second polysilicon films) is uniformly left in the range of 1000 to 2500 mm by chemical mechanical polishing.
[0017]
Referring to FIG. 3B, the exposed HDP oxide film protrusion 22 is removed by a thickness of 500 to 2000 mm using HF or BOE in the second pretreatment cleaning process after the CMP process. Thus, the coupling ratio can be increased by forming a smaller width and surface area of the floating gate electrode 26 than when realized by the existing masking method.
[0018]
Referring to FIG. 4, after the dielectric film 28 is formed along the steps of the entire structure, a third polysilicon film 30 and a tungsten silicide (WSi) film 32 for forming a control gate are sequentially deposited. Specifically, various types of dielectric films used for semiconductor elements are deposited. In this embodiment, ONO (oxide film / nitride film / oxide film (SiO 2 —Si 3 N 4 —SiO 2 ) or ONON structure is used. A dielectric film 28 of ONO structure is deposited, and the oxide film in the ONO structure is 0.1 to 3 torr using DCS (SiH 2 Cl 2 ) and N 2 O gas having excellent breakdown voltage and TDDB characteristics. It is deposited by LP-CVD to a thickness of about 35 to 60 mm under a low pressure of 810 to 850 ° C.
[0019]
The nitride film in the ONO structure is deposited by LP-CVD using DCS and NH 3 gas to a thickness of about 50 to 65 mm at a low pressure of 1 to 3 torr and a temperature of about 650 to 800 ° C. After performing the ONO process, in order to improve the quality of the ONO oxide film and strengthen the interface between floors, the thickness of about 150-300mm on the basis of the monitoring wafer at a temperature of about 750-800 ° C by wet oxidation method Steam annealing can be performed so as to oxidize. As a result, when the ONO process and the steam annealing are performed, a process with a delay time of several hours or less is performed to prevent contamination by a natural oxide film or impurities.
[0020]
The third polysilicon film 30 is doped in order to prevent diffusion of hydrofluoric acid, which can be replaced and dissolved in the dielectric film 28 to increase the thickness of the oxide film when the tungsten silicide film 32 is deposited. A double film structure of a doped film and an undoped film is deposited as an amorphous silicon film by LP-CVD under a temperature of about 510 to 550 ° C. and a pressure of 1.0 to 3 torr. At this time, the ratio of the doped film to the undoped film is set to 1: 2 to 6: 1, and the thickness is about 500 to 1000 mm so that the space between the floating gate electrodes 26 is sufficiently embedded. By forming the amorphous silicon film, gap formation can be suppressed during the subsequent deposition of the tungsten silicide film 32, and the word line resistance Rs can be reduced. When forming the third polysilicon film layer having the double structure, a doped film is formed using SiH 4 or Si 2 H 6 and PH 3 gas, and then the PH 3 gas is shut off to continuously form the layer. It is desirable to form an undoped film.
[0021]
The tungsten silicide film 32 is formed using a reaction of WF 6 with MS (SiH 4 ) or DCS (SiH 2 Cl 2 ) having a low fluorine content, a low post annealed stress, and good adhesion strength. It is better to grow to a stoichiometric ratio of about 2.0 to 2.8, which realizes appropriate step coverage at a temperature of 300 to 500 ° C. and can minimize the word line resistance Rs. An ARC layer (not shown) is deposited on the tungsten silicide film 32 using SiOxNy or Si 3 N 4 , a gate mask and etching process, a self-aligned mask and etching (Self aligned mask and etching). ) Process to form a control gate electrode.
[0022]
【Effect of the invention】
As described above, in the present invention, instead of forming a floating gate by an existing mask and etching process, an oxide film convex portion is formed on the field oxide film, and a floating gate is formed between the oxide film convex portions. Thus, the critical dimension of the device can be minimized, the device size can be easily adjusted, and a uniform floating gate can be formed over the entire wafer. In addition, the characteristics of the flash memory device can be improved by reducing the difference in coupling ratio between cells by a uniform floating gate, and the coupling ratio can be maximized by reducing the active critical dimension. .
[0023]
Further, by reducing the masking process, problems that may occur from the masking process can be solved, the process can be simplified, and the yield can be improved and the cost can be reduced. In addition, various process margins can be easily secured by adjusting the height and interval of the oxide film projections.
[Brief description of the drawings]
FIG. 1 is a cross-sectional view for explaining a method for manufacturing a semiconductor device according to the present invention.
FIG. 2 is a cross-sectional view for explaining a method for manufacturing a semiconductor device according to the present invention.
FIG. 3 is a cross-sectional view for explaining a method for manufacturing a semiconductor device according to the present invention.
FIG. 4 is a cross-sectional view for explaining a method for manufacturing a semiconductor device according to the present invention.
[Explanation of symbols]
10 ... Semiconductor substrate
12… Tunnel oxide film
14 ... 1st polysilicon film
16 ... pad nitride film
18… trench
20… HDP oxide film
22… HDP oxide film convex
24 ... Second polysilicon film
26… Floating gate electrode
28… Dielectric film
30 ... Third polysilicon film
32… Tungsten silicide film

Claims (9)

(a)半導体基板上にトンネル酸化膜、第1ポリシリコン膜及びパッド窒化膜を順次形成する段階と、
(b)パターニング工程によって前記パッド窒化膜、前記第1ポリシリコン膜、前記トンネル酸化膜及び前記半導体基板の一部をエッチングして前記半導体基板内にトレンチを形成する段階と、
(c)前記トレンチを含む全体構造上に酸化膜を蒸着した後、前記パッド窒化膜が露出するように前記酸化膜を平坦化する段階と、
(d)前記パッド窒化膜をエッチングして酸化膜凸部を形成する段階と、
(e)DHFまたはSC-1を利用した第1前処理洗浄工程によって前記酸化膜凸部の一部をエッチングする段階と、
(f)全体構造上に第2ポリシリコン膜を蒸着した後、前記酸化膜凸部が露出するように前記第2ポリシリコン膜を平坦化する段階と、
(g)カップリング比を改善させるためにHFまたはBOEを利用した第2前処理洗浄工程によって前記露出した酸化膜凸部の一部をエッチングして前記第1及び第2ポリシリコン膜からなるフローティングゲートを形成した後、誘電体膜及びコントロールゲートを形成する段階とを含んでなることを特徴とする半導体素子の製造方法。
(A) sequentially forming a tunnel oxide film, a first polysilicon film and a pad nitride film on a semiconductor substrate;
(B) etching the pad nitride film, the first polysilicon film, the tunnel oxide film, and a part of the semiconductor substrate by a patterning process to form a trench in the semiconductor substrate;
(C) after depositing an oxide film on the entire structure including the trench, planarizing the oxide film so that the pad nitride film is exposed;
(D) etching the pad nitride film to form oxide film protrusions;
And etching the part of the oxide film protrusion by the first pre-treatment cleaning process using (e) DHF or SC-1,
(F) After depositing a second polysilicon film on the entire structure, planarizing the second polysilicon film so that the oxide film protrusions are exposed;
(G) Floating made of the first and second polysilicon films by etching part of the exposed oxide film protrusions by a second pretreatment cleaning process using HF or BOE to improve the coupling ratio. And forming a dielectric film and a control gate after forming the gate.
前記第1ポリシリコン膜は、530〜680℃の温度と0.1〜3.0torrの圧力下でCVD、LPCVD、PECVDまたはAPCVD法によってSiH4またはSi2H6とPH3ガスを用いて200〜1000Åの厚さに形成することを特徴とする請求項1記載の半導体素子の製造方法。The first polysilicon film is formed at a temperature of 530 to 680 ° C. and a pressure of 0.1 to 3.0 torr using a SiH 4 or Si 2 H 6 and PH 3 gas by a CVD, LPCVD, PECVD or APCVD method. 2. The method of manufacturing a semiconductor element according to claim 1, wherein the semiconductor element is formed to have a thickness. 前記トンネル酸化膜は、750〜800℃の温度でウェット酸化方式によって85〜110Åの厚さに蒸着され、900〜910℃の温度範囲でN2を用いて20〜30分間アニールを行って形成することを特徴とする請求項1記載の半導体素子の製造方法。The tunnel oxide film is deposited to a thickness of 85 to 110 mm by a wet oxidation method at a temperature of 750 to 800 ° C., and is annealed for 20 to 30 minutes using N 2 at a temperature range of 900 to 910 ° C. The method of manufacturing a semiconductor device according to claim 1. 前記(a)段階の前に、イオン注入工程を行って前記半導体基板内にウェルを形成する段階をさらに含むことを特徴とする請求項1記載の半導体素子の製造方法。  2. The method of manufacturing a semiconductor device according to claim 1, further comprising a step of forming a well in the semiconductor substrate by performing an ion implantation process before the step (a). 前記(b)段階と前記(c)段階との間に、前記トレンチ形成の際に発生した前記半導体基板のダメージを補償するための側壁酸化工程を行う段階と、
前記トレンチのコーナー部分をラウンディングするための急速熱処理工程を行う段階と、
全体構造上にその段差に沿って高温酸化膜を蒸着した後、高温で緻密化工程を行う段階とをさらに含むことを特徴とする請求項1記載の半導体素子の製造方法。
Performing a sidewall oxidation process for compensating for damage to the semiconductor substrate generated during the trench formation between the step (b) and the step (c);
Performing a rapid thermal process to round the corner of the trench;
2. The method of manufacturing a semiconductor device according to claim 1, further comprising a step of performing a densification step at a high temperature after depositing a high-temperature oxide film along the step on the entire structure.
前記(f)段階は、
全体構造上に前記第2ポリシリコン膜を蒸着する段階
前記第2ポリシリコン膜の上部に前記第2ポリシリコン膜の上部表面の段差を減らすためのバッファー層を蒸着する段階と、
CMP工程を行って前記バッファー層を除去し、前記CMP工程を引き続き施して前記第2ポリシリコン膜を平坦化する段階を含むことを特徴とする請求項1記載の半導体素子の製造方法。
The step (f) includes:
After depositing the second polysilicon film on the entire structure,
Depositing a buffer layer on the upper surface of the second polysilicon film to reduce a step on the upper surface of the second polysilicon film;
2. The method of manufacturing a semiconductor device according to claim 1, further comprising: performing a CMP process to remove the buffer layer, and subsequently performing the CMP process to planarize the second polysilicon film.
前記バッファー層はPE-CVD法で形成されたPE-TEOS層、PE-Nitride層、PSG層及びBPSG層の少なくとも1つであることを特徴とする請求項6記載の半導体素子の製造方法。  7. The method of manufacturing a semiconductor device according to claim 6, wherein the buffer layer is at least one of a PE-TEOS layer, a PE-Nitride layer, a PSG layer, and a BPSG layer formed by a PE-CVD method. 前記第2ポリシリコン膜は、530〜680℃の温度と0.1〜3.0torrの圧力下でCVD、LPCVD、PECVDまたはAPCVD法によってSiH4またはSi2H6とPH3ガスを用いて800〜2500Åの厚さに形成することを特徴とする請求項1又は6記載の半導体素子の製造方法。The second polysilicon film is formed at a temperature of 530 to 680 ° C. and a pressure of 0.1 to 3.0 torr using a CVD, LPCVD, PECVD or APCVD method using SiH 4 or Si 2 H 6 and PH 3 gas at 800 to 2500 liters. 7. The method of manufacturing a semiconductor element according to claim 1, wherein the semiconductor element is formed to have a thickness. 前記第1洗浄工程によって前記酸化膜凸部に対向する前記第1ポリシリコン膜の厚さの2/3程度が開放されることを特徴とする請求項1記載の半導体素子の製造方法。  2. The method of manufacturing a semiconductor device according to claim 1, wherein about 1/3 of the thickness of the first polysilicon film facing the oxide film convex portion is released by the first cleaning step.
JP2003208569A 2002-11-18 2003-08-25 Manufacturing method of semiconductor device Expired - Fee Related JP4886163B2 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR2002-71503 2002-11-18
KR10-2002-0071503A KR100537276B1 (en) 2002-11-18 2002-11-18 Method of manufacturing a semiconductor device

Publications (2)

Publication Number Publication Date
JP2004172567A JP2004172567A (en) 2004-06-17
JP4886163B2 true JP4886163B2 (en) 2012-02-29

Family

ID=32709671

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003208569A Expired - Fee Related JP4886163B2 (en) 2002-11-18 2003-08-25 Manufacturing method of semiconductor device

Country Status (2)

Country Link
JP (1) JP4886163B2 (en)
KR (1) KR100537276B1 (en)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100691490B1 (en) * 2005-04-29 2007-03-09 주식회사 하이닉스반도체 Method of forming gate for flash memory device
KR100687402B1 (en) 2005-11-21 2007-02-26 주식회사 하이닉스반도체 Semiconductor device and method of manufacturing the same
KR100854875B1 (en) * 2006-02-07 2008-08-28 주식회사 하이닉스반도체 Method of manufacturing a flash memeory device
KR100983696B1 (en) * 2008-09-09 2010-09-24 주식회사 하이닉스반도체 High integrated semiconductor device
JP5522915B2 (en) 2008-09-30 2014-06-18 ローム株式会社 Semiconductor memory device and manufacturing method thereof
CN113808930A (en) * 2021-09-17 2021-12-17 恒烁半导体(合肥)股份有限公司 Floating gate manufacturing method and circuit of NOR flash memory and application of floating gate

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS632349A (en) * 1986-06-20 1988-01-07 Mitsubishi Electric Corp Manufacture of semiconductor device
JP2874486B2 (en) * 1991-11-29 1999-03-24 ソニー株式会社 Method for forming trench isolation with polishing step and method for manufacturing semiconductor device
JP4237344B2 (en) * 1998-09-29 2009-03-11 株式会社東芝 Semiconductor device and manufacturing method thereof
KR100318683B1 (en) * 1998-12-17 2001-12-28 윤종용 Method of forming oxide/nitride/oxide dielectric layer
JP2000294663A (en) * 1999-04-09 2000-10-20 Seiko Epson Corp Method for forming oxide film and semiconductor device
JP2000315738A (en) * 1999-04-28 2000-11-14 Toshiba Corp Manufacture of nonvolatile semiconductor storage device
JP4131896B2 (en) * 2000-03-31 2008-08-13 株式会社東芝 Method for manufacturing nonvolatile semiconductor memory device
KR20010055525A (en) * 1999-12-10 2001-07-04 윤종용 Method for shallow trench isolation
JP2002064155A (en) * 2000-08-21 2002-02-28 Matsushita Electric Ind Co Ltd Method for manufacturing semiconductor device
JP3984020B2 (en) * 2000-10-30 2007-09-26 株式会社東芝 Nonvolatile semiconductor memory device

Also Published As

Publication number Publication date
KR20040043284A (en) 2004-05-24
JP2004172567A (en) 2004-06-17
KR100537276B1 (en) 2005-12-19

Similar Documents

Publication Publication Date Title
KR100426483B1 (en) Method of manufacturing a flash memory cell
KR100550779B1 (en) Method of manufacturing a flash memory device
US7052960B2 (en) Method for manufacturing a flash memory device
KR100471575B1 (en) Method of manufacturing flash memory device
US6991985B2 (en) Method of manufacturing a semiconductor device
JP4015369B2 (en) Semiconductor device having desirable gate profile and manufacturing method thereof
KR100670925B1 (en) Semiconductor device and method of manufacturing the same
JP4886163B2 (en) Manufacturing method of semiconductor device
KR100875067B1 (en) Manufacturing Method of Flash Memory Device
US7049236B2 (en) Method of manufacturing a semiconductor device
US7691721B2 (en) Method for manufacturing flash memory device
KR100523920B1 (en) Method of manufacturing a flash device
KR100523918B1 (en) Method of manufacturing a flash device
KR20020095690A (en) Method of manufacturing flash memory device
KR100466189B1 (en) Method of manufacturing a flash memory cell
KR100427537B1 (en) Method of forming a isolation layer in a semiconductor device and manufacturing a flash memory cell using the same
KR100673224B1 (en) Method of manufacturing a flash memory device
KR100482760B1 (en) Method of manufacturing a flash memory device
KR20030002352A (en) Method for Self-Aligned Shallow Trench Isolation and Method of manufacturing Non-Volatile Memory Device comprising the same
KR100671623B1 (en) Method of manufacturing a flash memory device
KR20030044146A (en) Method of manufacturing a flash memory cell
KR100856300B1 (en) Method of manufacturing a flash memory cell
KR20030043499A (en) Method of manufacturing a flash memory cell
KR20050073311A (en) Method of manufacturing a flash memory device
KR20050064673A (en) Method of manufacturing a flash memory device

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060628

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090918

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100209

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100507

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100713

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101008

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101102

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20111129

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20111209

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20141216

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R371 Transfer withdrawn

Free format text: JAPANESE INTERMEDIATE CODE: R371

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees