JP4826914B2 - 集積化金属珪化物ゲート電極を有するトランジスタの形成方法 - Google Patents

集積化金属珪化物ゲート電極を有するトランジスタの形成方法 Download PDF

Info

Publication number
JP4826914B2
JP4826914B2 JP2006523875A JP2006523875A JP4826914B2 JP 4826914 B2 JP4826914 B2 JP 4826914B2 JP 2006523875 A JP2006523875 A JP 2006523875A JP 2006523875 A JP2006523875 A JP 2006523875A JP 4826914 B2 JP4826914 B2 JP 4826914B2
Authority
JP
Japan
Prior art keywords
forming
transistor
layer
isolation
groove
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2006523875A
Other languages
English (en)
Other versions
JP2007503116A (ja
Inventor
アール アボット トッド
Original Assignee
マイクロン テクノロジー, インク.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by マイクロン テクノロジー, インク. filed Critical マイクロン テクノロジー, インク.
Publication of JP2007503116A publication Critical patent/JP2007503116A/ja
Application granted granted Critical
Publication of JP4826914B2 publication Critical patent/JP4826914B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • H01L21/28044Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer
    • H01L21/28052Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities the conductor comprising at least another non-silicon conductive layer the conductor comprising a silicide layer formed by the silicidation reaction of silicon with a metal layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/66583Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with initial gate mask or masking layer complementary to the prospective gate location, e.g. with dummy source and drain contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • H01L29/4925Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
    • H01L29/4933Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement with a silicide layer contacting the silicon layer, e.g. Polycide gate

Description

本発明は、半導体装置を形成する製造処理に関するものである。本発明は特に、半導体装置用の集積化金属珪化物ゲート電極を有するトランジスタの製造方法に関するものである。
ダイナミックランダムアクセスメモリ(DRAM)装置のような半導体装置では、トランジスタのゲートは、主として、ポリシリコンと、これを被覆するチタニウム珪化物又はタングステン珪化物のような導電層とから成る。しかし、タングステン珪化物やチタニウム珪化物は、珪化物とシリコンとの界面を粗くする大粒子材料であり、これによりトランジスタのゲート積層体の抵抗値を増大させる。
最近の半導体製造方法は、チタニウム珪化物又はタングステン珪化物材料よりも小さな粒子の材料であるコバルト珪化物又はニッケル珪化物のような他の種類の金属珪化物を導入するように開発されている。しかし、小さな粒子寸法の金属珪化物をエッチングするのは困難であるため、これらの金属珪化物を現在の製造技術に導入するのは困難である。例えば、従来の製造技術の1つを図1〜5に示す。
図1に示すように、トランジスタのゲート積層体を構成する材料の層がシリコン基板10上に形成される。最初にゲート酸化物11が形成され、これに続いて順次に、ポリシリコン層12、チタニウム(Ti)又は窒化チタニウム(TiN)層13、コバルト層14、シリコン層15、窒化物層16が形成され、最後にパターンニング用のホトレジスト17が形成される。
図2に示すように、エッチングを行って、窒化物層16及びシリコン層15をパターンニングし、このパターンニングをコバルト層14上で停止させることにより、トランジスタのゲート積層体の形成を開始する。シリコン層15を強制的にコバルト層14と反応させ、この個所でこれらを互いに接触させて、図3に示すようにコバルト珪化物領域30を形成する。この反応も等方性的に(垂直及び水平の双方の方向で)生じる為、コバルト珪化物領域30は、(図3における窒化物層16により規定されている)元のゲート積層体のパターンの境界を越えて外方に拡張する。トランジスタのゲート積層体を完成させるには、図4及び5に示すように、2回の追加のエッチング処理が必要となり、その1つはゲート積層体の外部のコバルト材料を除去する湿式エッチング処理であり、他の1つはTi又はTiN層13と、ポリシリコン層12と、ゲート酸化物11とをパターン化するエッチング処理である。しかし、コバルト珪化物領域30は、トランジスタのゲート積層体を完成させるのに用いる現在のエッチング化学反応によっては影響を受けず、従って、ゲート積層体の幅を増大させる。
従って、上述した方法は数回のエッチング工程が必要であり、臨界的な寸法である装置の特徴部の寸法を増大させ、場合によってはトランジスタのゲート構造体の抵抗値を増大させる。その理由は、上述した方法では、反応工程中にコバルトの全てをコバルト珪化物に確実に変換するのに充分なシリコン層15が必要となり、その結果、少量のシリコンがゲート積層体に残存するおそれがあり、従って、このゲート積層体に対するいかなる接触抵抗をも増大させる為である。
半導体製造では、現在、半導体装置の接触抵抗又は特徴部の寸法を増大させることなしに、トランジスタのゲートの金属珪化物を形成する方法であって、半導体装置におけるnチャネル及びpチャネルトランジスタの双方のゲートを形成するのに用いうるトランジスタゲートキャップ層を集積化しうる方法が必要となっており、当業者にとって明らかとなる本発明の以下の説明により、その必要性も明らかとなるであろう。
本発明の代表的な実施には、シリコン基板のような半導体アセンブリ上に、集積化した金属珪化物のトランジスタゲート電極を有するトランジスタを形成することにより、半導体アセンブリ上にトランジスタを形成する方法を含むものである。金属を溝内でエピタキシャルシリコンと反応させて金属珪化物を形成することにより、トランジスタゲートを部分的に形成する。好適な金属珪化物はコバルト珪化物又はニッケル珪化物である。トランジスタゲート分離キャップ層を溝内及び金属珪化物上に形成する。任意ではあるが、溝スペーサを加えて、所定の製造処理の臨界的な寸法上の制約を低減させることができ、従って、形状寸法を臨界的な寸法よりも小さくしたトランジスタを形成しうる。
以下の代表的な実施例はnチャネルトランジスタを形成する場合に関するものである。しかし、当業者にとって周知の技術を用いて導電性のドーパントを交換することにより、pチャネルトランジスタをも同様に形成しうるものである。本発明の概念は半導体メモリ装置のトランジスタ構造体に対するものであるが、ここで述べる概念は、ここに開示する処理を用いることにより同様に恩恵を受ける他の半導体装置にも適用しうるものである。従って、半導体メモリ装置のトランジスタ構造体に対する本発明の説明は、ここに説明する概念を当業者が適用しうる範囲を制限することを意味するものではない。
以下の説明において、用語“ウエハ”及び“基板”は、シリコン、シリコン‐オン‐インシュレータ(SOI)又はシリコン‐オン‐サファイア(SOS)技術を含む半導体主体材料や、ドーピングした又はドーピングしない半導体や、半導体基台によって支持されたシリコンのエピタキシャル層や、他の半導体構造体として理解されるべきである。更に、以下の説明で、“ウエハ”又は“基板”を参照する場合には、半導体基台内又は上に領域又はpn接合を形成するのための前処理を用いておくことができる。更に、半導体は必ずしもシリコンを主成分とするものにする必要がなく、シリコン‐ゲルマニウム、シリコン‐オン‐インシュレータ、シリコン‐オン‐サファイア、ゲルマニウム又は砒化ガリウム等を主成分にすることができる。
図6は、集積化した分離トランジスタキャップを有する電界効果トランジスタの代表的な実施例を示す半導体基板区分の断面図である。図6に示すように、半導体アセンブリは、p導電型のシリコン基板60を有し、この基板内にトランジスタ分離領域61(すなわち、溝分離酸化物)が形成されている。トランジスタゲート140は、金属珪化物区分110の上にあるトランジスタゲート分離キャップ層120を有し、金属珪化物区分110はポリシリコン区分141上にあるとともにトランジスタゲート酸化物62から分離されている。ソース/ドレイン領域151はトランジスタゲート140の両側に形成されている。トランジスタゲートを完成させるために、トランジスタゲート分離スペーサ150がトランジスタゲートの側壁を被覆している。
本発明の方法の第1実施例を図7〜16につき説明する。図7を参照するに、基板60は、溝分離領域61がp導電型シリコン基板60内に形成されている点まで処理されている。分離領域61及び基板60上には、最終的にトランジスタゲート酸化物となる酸化物層62を形成する。次に、酸化物層62上にポリシリコン層70を形成し、このポリシリコン層70上に溝分離材料71を形成する。この溝分離材料は二酸化シリコン又は窒化シリコンのいずれかにでき、この本発明の第1例では、二酸化シリコンを選択する。ホトレジスト72をパターン化して開孔73を形成し、この開孔73がこれに続く溝を下側の溝分離二酸化シリコン71内にエッチング形成するようにする。
次に、図8を参照するに、溝分離二酸化シリコン71内に、その下側のポリシリコン層70が露出されるまで、溝80をエッチング形成する。次に、当業者にとって既知の方法により、溝80の下側のシリコン70をシリコン源として用いることによりこの溝80の底部内に約400〜500オングストロームの厚さまでエピタキシャルシリコン81を成長させる。これに続く処理工程で明らかとなるように、エピタキシャルシリコン81の厚さは、形成される金属珪化物の所望の厚さに依存する。約400〜500オングストロームのエピタキシャルシリコン81の厚さは本発明の好適な実施例を表わす。
次に、図9を参照するに、厚さが約40〜100オングストロームのニッケル又は好ましくはコバルトのような金属層90をコンフォーマル的に、溝二酸化シリコン71の上面上に、且つ(溝80内で)この溝二酸化シリコン71の側壁に沿って、しかもエピタキシャルシリコン81の上面上に堆積する。本発明の本例では、金属層90としてコバルトを選択する。金属層の堆積に続き、この金属層上に、厚さが約100オングストロームのチタン又は窒化チタンのような導電層91をコンフォーマル的に堆積する。金属層90及び導電層91は当業者にとって既知の方法により堆積する。
次に、図10を参照するに、好適例としてチタン及びコバルトを用いると、エピタキシャルシリコン81上にチタン層91及びコバルト層90が位置している。この半導体アセンブリにアニーリング処理工程、好ましくは急速加熱処理(RTP)を、約500〜600℃の温度で、約30〜60秒行い、コバルトを最初にエピタキシャルシリコンと反応させて、コバルト珪化物(xを1〜2の範囲内としたCoSix )100を形成する。この処理時点で、エピタキシャルシリコン81の一部が依然として残存している。任意のチタン層91は本質的に、その下側のコバルトが自然の傾向である凝集を行わないようにすることにより、CoSi2 の粒子寸法及び配向の制御を助ける。チタンは、CoSi2 の粒子配向及び寸法を決定する助けをするという意味で“案内”層として機能する。従って、チタン層91は任意なものであるが、チタンを主成分とする層(すなわち、チタン又は窒化チタン)を存在させるのが好ましく、これを用いる場合には、これを後の処理工程で剥離させる。
次に、図11を参照するに、前のアニーリング工程が終了した後、コバルト90及びチタン91の非反応部分をアンモニア‐過酸化水素の混合液(APM)又は塩化水素‐過酸化水素の混合液(HPM)により半導体表面から剥離させ、これにより溝内にCoSix 110及びエピタキシャルシリコン81のみを残存させる。
次に、半導体アセンブリに任意ではあるが2回目のアニーリング工程を、好ましくは、RTP工程を約800〜900℃で約30〜60秒間行い、エピタキシャルシリコン81と接触するCoSix 100が実際に確実に下側のエピタキシャルシリコンと反応して厚さが全体に亘り約100〜350オングストロームのCoSi2 層110を形成するようにすることができる。エピタキシャルシリコン81の層がCoSi2 層110の所望の厚さよりも厚肉である場合(この場合は本発明の場合である)には、コバルト層90の厚さがCoSi2 層110の全厚さを決定する。CoSi2 層110の形成後、エピタキシャルシリコン81の一部が溝73の底部内に且つポリシリコン層70の元の表面の上に残存し、金属珪化物が下側のポリシリコン層70内に侵入しないようにするのが望ましい。
CoSi2 が下側のポリシリコン層70内に侵入したものとすると、当該技術分野で検討されているように、CoSi2 の侵入は等方性的に(垂直及び水平の双方の方向で)生じ、ゲート積層体の幅は溝80の幅を超えて外方に成長し、これにより、ゲート積層体の形状寸法を所定の処理の臨界的な寸法上の制約を超えたものとする。この状態が臨界的な寸法上の制御を不可能にする。その理由は、代表的なゲート積層体の化学的エッチング反応を用いてCoSi2 をエッチング処理できない為である。
次に、図12を参照するに、窒化シリコン又は二酸化シリコンのような分離キャップ材料120を、溝80の残りの領域が完全に充填されるように堆積する。この堆積される分離材料の種類は、溝を形成するのに用いられる分離材料の種類に依存する。本例では、使用する溝分離材料は二酸化シリコンであり、従って、溝を充填するのに選択した分離材料120は、以下の工程で明らかとなる理由で窒化シリコンとする。
次に、図13を参照するに、分離キャップ材料120(窒化シリコン)をエッチングバック処理して、溝分離材料71(二酸化シリコン)の表面における又はこの表面の下のこの材料の横方向成分を除去する。このエッチングバック処理は二酸化シリコン材料71に対して選択性があり、このことは、エッチングバック処理が二酸化シリコン材料71に到達すると停止するということを意味する。例えば、二酸化シリコン材料71上で停止する化学機械平坦化エッチング処理を用いることができる。
次に、図14を参照するに、分離キャップ材料120に対し選択性のあるエッチング処理を行い、溝分離材料71と、この溝分離材料71の下側にあるポリシリコン層70の部分及びゲート酸化物層62の部分とを除去し、トランジスタゲート積層体140を形成する。このトランジスタゲート積層体は、溝分離材料を除去するのに乾式エッチング処理のみを又はこれと湿式エッチング処理との組み合わせを用い、これに続きポリシリコン及びゲート酸化物を除去するのに乾式エッチング処理を用いて形成しうる。トランジスタゲート積層体140はゲートキャップ120と、CoSi2 部分110と、エピタキシャルシリコン部分81及びポリシリコン部分70(これら部分81及び70が組み合わさってシリコン部分141を形成する)と、ゲート酸化物部分62とを有する。ゲート積層体140を形成した後、N型のイオン注入を行って、わずかにドーピングされた自己整列のソース/ドレイン領域142を形成する。
次に、図15を参照するに、ゲート積層体140の側壁上にトランジスタゲートスペーサ150を形成する。次に、N型のイオン注入を行って、ソース/ドレイン領域151を形成する。次に、当業者にとって既知の適切な製造処理を行って、半導体アセンブリを完成させる。
図16は、トランジスタゲート積層体140と、トランジスタゲートスペーサ150と、ソース/ドレイン領域151と、トランジスタ溝分離領域61とを示す図15の完成トランジスタ構造体の頂面図である。
本発明の方法の第2実施例を図7及び図17〜26につき説明する。図7を参照するに、基板60は、溝分離領域61がp導電型のシリコン基板60内に形成されている点まで処理されている。最終的にトランジスタゲート酸化物となる酸化物層62を分離領域61及び基板60上に形成する。次に、この酸化物層62上にポリシリコン層70を形成し、このポリシリコン層70上に溝分離材料71を形成する。溝分離材料は二酸化シリコン又は窒化シリコンの何れかにでき、本発明のこの第2実施例では窒化シリコンを選択する。ホトレジスト72をパターン化して開孔73を形成し、この開孔73がこれに続く溝を下側の溝分離窒化シリコン71内にエッチング形成するようにする。
次に、図17を参照するに、溝170を、下側のポリシリコン層70が露出されるまで溝分離ポリシリコン層70内にエッチング形成する。次に、分離材料171のコンフォーマル層を、溝分離材料71上に、且つ(溝170内にある)この溝分離材料71の露出側壁に沿って、しかもポリシリコン層70の露出部分上に形成する。分離材料171は、溝分離材料71と同じ種類の誘電体材料として、溝分離材料71を選択的にエッチングする際に分離材料171を除去しうるようにするのが好ましい。本例では、溝分離材料71として窒化シリコンを選択する為、分離材料171に対しても窒化シリコンを用いる。
次に、図18を参照するに、スペーサエッチング形成処理を行って、溝170の側壁に沿って窒化シリコンの溝スペーサ171を形成する。次に、当業者にとって既知の方法により、溝170の下側のポリシリコン70をシリコン源として用いて、エピタキシャルシリコン180を溝170の底部内に約400〜500オングストロームの厚さまで成長させる。処理の後の段階で明らかとなるように、内側の窒化物スペーサ171を存在させることにより、所定の処理の臨界的な寸法制約により得られるよりも小型の特徴部分を有するトランジスタを製造できるとともに、後に形成する金属珪化物に対する保護を行う。
次に、図19を参照するに、厚さを約40〜100オングストロームとしたニッケル又は好ましくはコバルトのような金属層190を、溝分離窒化シリコン71の上面上に、且つ窒化シリコン171の溝側壁に沿って、しかもエピタキシャルシリコン180の上面上にコンフォーマル的に堆積する。本発明のこの例では、金属層190に対してコバルトを選択する。この金属の堆積に続いて、厚さを100オングストロームとしたチタン又は窒化チタンのような任意の導電層191を金属層190上にコンフォーマル的に堆積する。金属層190及び導電層191は、当業者にとって既知の方法により堆積する。
次に、図20を参照するに、チタン及びコバルトを好適例として用いて、チタン層191及びコバルト層190をエピタキシャルシリコン180上に位置させる。半導体アセンブリにアニーリング処理工程、好ましくは急速加熱処理(RTP)を、約500〜600℃の温度で、約30〜60秒行い、コバルトを最初にエピタキシャルシリコンと反応させて、コバルト珪化物(xを1〜2の範囲内としたCoSix )200を形成する。この処理時点で、エピタキシャルシリコン180の一部が依然として溝170の底部内に残存している。チタン層191は、その下側のコバルトが自然の傾向である凝集を行わないようにすることにより、CoSi2 の粒子寸法及び配向の制御を助ける。チタンは本質的に、CoSi2 の粒子配向及び寸法を決定する助けをするという意味で“案内”層として機能する。従って、チタン層191は任意なものであるが、チタンを主成分とする層(すなわち、チタン又は窒化チタン)を存在させるのが好ましく、これを用いる場合には、これを後の処理工程で剥離させる。
次に、図21を参照するに、前のアニーリング工程が終了した後、コバルト190及びチタン191の非反応部分をアンモニア‐過酸化水素の混合液(APM)又は塩化水素‐過酸化水素の混合液(HPM)により半導体表面から剥離させ、これにより溝内にCoSix 200及びエピタキシャルシリコン180のみを残存させる。
次に、半導体アセンブリに任意ではあるが2回目のアニーリング工程を、好ましくは、RTP工程を約800〜900℃で約30〜60秒間行い、エピタキシャルシリコン180と接触するCoSix 200が実際に確実に下側のエピタキシャルシリコンと反応して厚さが約100〜350オングストロームのCoSi2 層210を形成するようにすることができる。エピタキシャルシリコン180の層がCoSi2 層210の所望の厚さよりも厚肉である場合(この場合は本発明の場合である)には、コバルト層190の厚さがCoSi2 層210の全厚さを決定する。CoSi2 層210の形成後、エピタキシャルシリコン180の一部が溝170の底部内に且つポリシリコン層70の元の表面の上に残存し、CoSi2 が下側のポリシリコン層70内に侵入しないようにするのが望ましい。
本発明の第1実施例で述べたように、CoSi2 が下側のポリシリコン層70内に侵入したものとすると、当該技術分野で検討されているように、CoSi2 の侵入は等方性的に(垂直及び水平の双方の方向で)生じ、ゲート積層体の幅は溝170の幅を超えて外方に成長し、これにより、ゲート積層体の形状寸法を所定の処理の臨界的な寸法上の制約を超えたものとする。この状態が臨界的な寸法上の制御を不可能にする。その理由は、代表的なゲート積層体の化学的エッチング反応を用いてCoSi2 をエッチング処理できない為である。
次に、図22を参照するに、窒化シリコン又は二酸化シリコンのような分離キャップ材料220を、溝170の残りの領域が完全に充填されるように堆積する。この堆積される分離材料の種類は、溝を形成するのに用いられる分離材料の種類に依存する。本例では、使用する溝分離材料は窒化シリコンであり、従って、溝を充填するのに選択した分離材料220は、以下の工程で明らかとなる理由で二酸化シリコンとする。
次に、図23を参照するに、分離キャップ材料220(二酸化シリコン)をエッチングバック処理して、溝分離材料71(窒化シリコン)の表面における又はこの表面の下のこの材料の横方向成分を除去する。このエッチングバック処理は窒化シリコン材料71に対して選択性があり、このことは、エッチングバック処理が窒化シリコン材料71に到達すると停止するということを意味する。例えば、窒化シリコン材料71上で停止する化学機械平坦化エッチング処理を用いることができる。
次に、図24を参照するに、分離キャップ材料220に対し選択性のあるエッチング処理を行い、溝分離材料71と、この溝分離材料71の下側にあるポリシリコン層70の部分及びゲート酸化物層62の部分とを除去し、トランジスタゲート積層体240を形成する。このトランジスタゲート積層体は、溝分離材料を除去するのに乾式エッチング処理のみを又はこれと湿式エッチング処理との組み合わせを用い、ポリシリコン及びゲート酸化物を除去するのに乾式エッチング処理を用いて形成しうる。トランジスタゲート積層体240はゲートキャップ220と、CoSi2 部分210と、エピタキシャルシリコン部分180及びポリシリコン部分70(これら部分180及び70が組み合わさってシリコン部分241を形成する)と、ゲート酸化物部分62とを有する。溝スペーサ171を存在させて後に除去することにより、実際にトランジスタのゲート積層体の形状寸法をこの溝スペーサの厚さだけ減少させる。トランジスタゲート積層体を製造するのに溝スペーサを用いることにより、製造上の自由度を有効に大きくする。ゲート積層体240を形成した後、N型のイオン注入を行って、わずかにドーピングされた自己整列のソース/ドレイン領域242を形成する。
次に、図25を参照するに、ゲート積層体240の側壁上にトランジスタゲートスペーサ250を形成する。次に、N型のイオン注入を行って、ソース/ドレイン領域251を形成する。次に、当業者にとって既知の適切な製造処理を行って、半導体アセンブリを完成させる。
図26は、トランジスタゲート積層体240と、トランジスタゲートスペーサ250と、ソース/ドレイン領域251と、トランジスタ溝分離領域61とを示す図25の完成トランジスタ構造体の頂面図である。
メモリ装置のような半導体アセンブリに用いるトランジスタ構造体を形成する場合につき、代表的な実施例を上述したが、当業者は、これらの代表的な実施例で説明した概念を用いて殆どの半導体分野で用いられるトランジスタ構造体を形成しうるものである。例えば、本発明は図27に示すような半導体システムに適用しうるものであり、このシステムの一般的な動作は当業者にとって既知である。
図27は、プロセッサ270及びメモリ装置271を有する半導体システムを示す一般的なブロック線図であり、メモリ装置271は、行アドレスバッファ273、列アドレスバッファ274、行デコーダ275、列デコーダ276、センス増幅器277、メモリアレイ278及びデータ入力/出力装置279のようなメモリ集積回路の基本区分を有し、これらはプロセッサ270から制御装置272を経て供給される制御/タイミング信号により動作させられる。
本発明を2つの代表的な実施例につき説明したが、特許請求の範囲に記載した本発明から逸脱することなく、上述した構造及び処理に、当業者にとって既知の種々の変更を施すことができる。
図1は、コバルト珪化物を有するトランジスタゲート積層体を形成する従来の半導体製造方法の一工程を示す断面図である。 図2は、図1の後の一工程を示す断面図である。 図3は、図2の後の一工程を示す断面図である。 図4は、図3の後の一工程を示す断面図である。 図5は、図4の後の一工程を示す断面図である。 図6は、金属珪化物ゲート電極及び集積化した分離キャップ層を有するトランジスタを製造する本発明の方法の一例を示す半導体基板の断面図である。 図7は、溝分離領域がp導電型基板内に形成され、その上に酸化物層が形成された点まで基板が処理されており、酸化物層上にポリシリコン層が形成され、このポリシリコン上に溝分離材料が形成され、次に本発明の第1実施例により、溝分離材料上にパターン化したホトレジストを形成した、半導体製造の早期の工程を示す半導体基板の断面図である。 図8は、溝分離材料内に溝をエッチング形成し、次に溝の底部内にエピタキシャルシリコンを成長させた、図7に続く処理工程を示す断面図である。 図9は、半導体アセンブリ上に金属層を堆積し、この金属層上に導電層を堆積した、図8に続く処理工程を示す断面図である。 図10は、アニーリング工程により金属層をその下側のエピタキシャルシリコンと反応させて金属珪化物を形成した、図9に続く処理工程を示す断面図である。 図11は、反応しなかった金属層と導電層とを除去し、任意の2回目のアニーリング工程を行って金属珪化物の形成を継続した、図10に続く処理工程を示す断面図である。 図12は、トランジスタゲートに対する分離キャップ材料を堆積した、図11に続く処理工程を示す断面図である。 図13は、選択エッチング処理を行って分離キャップ材料の横方向成分を除去した、図12に続く処理工程を示す断面図である。 図14は、分離キャップ材料に対して選択性としたエッチング処理を行って、トランジスタゲート積層体を形成し、次に自己整列のイオン注入を行って僅かにドーピングされたトランジスタソース/ドレイン領域を形成した、図13に続く処理工程を示す断面図である。 図15は、トランジスタゲート側壁分離スペーサを形成し、これに続くソース/ドレインイオン注入を行った、図14に続く処理工程を示す断面図である。 図16は、金属珪化物ゲート及びその上の集積化したトランジスタ分離キャップとを有し、本発明の第1実施例で製造した完成トランジスタを示す図15の上面図である。 図17は、本発明の第2実施例により、溝分離材料内に溝をエッチング形成し、スペーサ材料の層を、溝分離材料上に、且つ溝の内側壁に沿って、しかも下側のポリシリコン層の露出部分の表面上に堆積した、図7に続く処理工程を示す断面図である。 図18は、スペーサ材料のエッチング処理を行って、溝の側壁上に内側スペーサを形成し、これに続きエピタキシャルシリコンを溝の底部内に成長させた、図17に続く処理工程を示す断面図である。 図19は、半導体アセンブリ上に金属層を堆積し、この金属層上に導電層を堆積した、図18に続く処理工程を示す断面図である。 図20は、アニーリング工程を行って、金属層をその下側のエピタキシャルシリコンと反応させ、金属珪化物を形成した、図19に続く処理工程を示す断面図である。 図21は、反応しない金属層と、導電層とを除去し、任意の2回目のアニーリング工程を行って、金属珪化物の形成を継続した、図20に続く処理工程を示す断面図である。 図22は、トランジスタゲートに対する分離キャップ材料を堆積した、図21に続く処理工程を示す断面図である。 図23は、選択エッチング処理を行って、分離キャップ材料の横方向成分を除去した、図22に続く処理工程を示す断面図である。 図24は、分離キャップ材料に対し選択性のエッチング処理を行って、トランジスタゲート積層体を形成し、これに続いて自己整列のイオン注入を行って僅かにドーピングされたトランジスタソース/ドレイン領域を形成した、図23に続く処理工程を示す断面図である。 図25は、トランジスタゲート側壁分離スペーサを形成し、これに続くソース/ドレインイオン注入を行った、図24に続く処理工程を示す断面図である。 図26は、金属珪化物ゲート及びその上の集積化したトランジスタ分離キャップとを有し、本発明の第2実施例で製造した完成トランジスタを示す図25の上面図である。 図27は、プロセッサ及びメモリ装置を有し、本発明を適用しうる半導体システムを示す、簡単化したブロック線図である。

Claims (30)

  1. 半導体アセンブリ上にトランジスタを形成するトランジスタ形成方法であって、
    シリコン層と、トランジスタゲート酸化物層と、シリコン基板材料とを被覆する分離材料内に溝を形成する工程と、
    前記溝内にシリコン及び金属を形成する工程と、
    前記溝の外側における前記シリコン層には金属珪化物を形成しないようにしながら、前記金属を前記シリコンと反応させて前記溝の内部に金属珪化物を形成する工程と、
    前記金属珪化物上にトランジスタ分離キャップを形成する工程と、
    前記分離材料と、前記シリコン層及びトランジスタゲート酸化物層のうち前記分離材料の下側にある領域とを除去して、前記トランジスタ分離キャップと、前記金属珪化物と、前記シリコン層の一部と、前記トランジスタゲート酸化物層の一部とを有するトランジスタゲート積層体を形成する工程と、
    前記シリコン基板材料内であって前記トランジスタゲート積層体の両側に、トランジスタのソース/ドレイン領域を形成する工程と、
    を含むトランジスタ形成方法。
  2. 請求項1に記載のトランジスタ形成方法において、
    前記溝内にシリコン及び金属を形成する前記工程が、
    前記シリコン層と接触する前記溝の底部に、前記シリコンとしてのエピタキシャルシリコン材料を形成する工程と、
    前記溝内であって前記エピタキシャルシリコン材料上に、前記金属としての金属層を形成する工程と、
    を含み、
    前記溝の内部に金属珪化物を形成する前記工程が、
    前記金属層上に直接、チタンを主成分とする層を形成する工程と、
    半導体アセンブリをアニーリングすることにより前記金属層と前記エピタキシャルシリコン材料とを反応させて前記金属珪化物を形成する工程と、
    を含むトランジスタ形成方法。
  3. 請求項1に記載のトランジスタ形成方法において、前記溝の内部に金属珪化物を形成する前記工程の前に、前記溝により形成された前記分離材料の側壁上に内側溝スペーサを形成する工程を更に含むトランジスタ形成方法。
  4. 請求項2に記載のトランジスタ形成方法において、前記金属層がコバルト又はニッケルからなるトランジスタ形成方法。
  5. 請求項2に記載のトランジスタ形成方法において、チタンを主成分とする前記層がチタン又は窒化チタンからなるトランジスタ形成方法。
  6. 請求項1に記載のトランジスタ形成方法において、前記金属珪化物が、xを1〜2の範囲内としたCoSixからなるトランジスタ形成方法。
  7. 請求項1に記載のトランジスタ形成方法において、前記金属珪化物がコバルト珪化物又はニッケル珪化物からなるトランジスタ形成方法。
  8. 半導体アセンブリ上にトランジスタを形成するトランジスタ形成方法であって、
    上に酸化物層の形成された導電性シリコン基板内に形成された分離領域を有する基板を準備する工程と、
    前記酸化物層上にポリシリコン層を形成する工程と、
    前記ポリシリコン層上に溝分離材料を形成する工程と、
    開孔を有するパターン化したホトレジストを前記溝分離材料上に形成する工程と、
    前記開孔を介して前記溝分離材料内に溝をエッチング形成し、下側の前記ポリシリコン層の一部を露出させる工程と、
    前記ポリシリコン層の露出部上であって前記溝の底部内に、エピタキシャルシリコンを成長させる工程と、
    前記溝の側壁に沿って、及び、前記エピタキシャルシリコン上に、金属層を堆積する工程と、
    半導体アセンブリに1回目のアニーリングを行うことにより、前記金属層を前記エピタキシャルシリコンと反応させて前記溝の内部に金属珪化物を形成する工程と、
    非反応の金属層を全て除去する工程と、
    2回目のアニーリングを行って、金属珪化物が下側の前記ポリシリコン層の部分に侵入しないようにしながら、金属珪化物の前記形成を継続する工程と、
    少なくとも、前記溝内であって前記金属珪化物上に、分離キャップ材料を堆積する工程と、
    前記分離キャップ材料を前記溝内であって前記金属珪化物上にのみ残し、その他の分離キャップ材料を除去する工程と、
    前記残った分離キャップ材料に対し選択性としたエッチング処理を行って、前記残った分離キャップ材料からなるトランジスタ分離キャップと、前記金属珪化物と、前記ポリシリコン層の一部と、前記酸化物層の一部からなるトランジスタゲート酸化物とを有するトランジスタゲート積層体を形成する工程と、
    前記導電性シリコン基板内に導電性ドーパントを注入して、トランジスタの、わずかにドーピングされた自己整列のソース/ドレイン領域を形成する工程と、
    トランジスタゲート側壁分離スペーサを形成する工程と、
    前記導電性シリコン基板内に導電性ドーパントを注入して、トランジスタのソース/ドレイン領域を形成する工程と、
    を含むトランジスタ形成方法。
  9. 請求項8に記載のトランジスタ形成方法において、前記金属層がコバルト又はニッケルからなるトランジスタ形成方法。
  10. 請求項8に記載のトランジスタ形成方法において、前記溝の底部内にエピタキシャルシリコンを成長させる前記工程の前に、前記溝により形成された前記溝分離材料の側壁上に内側溝スペーサを形成する工程を更に含むトランジスタ形成方法。
  11. 請求項10に記載のトランジスタ形成方法において、前記残った分離キャップ材料に対し選択性とした前記エッチング処理を行なう期間中に、前記内側溝スペーサを除去する工程を更に含むトランジスタ形成方法。
  12. 請求項8に記載のトランジスタ形成方法において、
    前記金属層上に導電層を堆積して、金属珪化物の粒子の形成を制御する工程と、
    前記非反応の金属層を全て除去する際に前記導電層を除去する工程と、
    を更に含むトランジスタ形成方法。
  13. トランジスタを有する半導体メモリ装置を形成する半導体メモリ装置形成方法であって、
    上に酸化物層の形成された導電性シリコン基板内に形成された分離領域を有する基板を準備する工程と、
    前記酸化物層上にポリシリコン層を形成する工程と、
    前記ポリシリコン層上に溝分離材料を形成する工程と、
    開孔を有するパターン化したホトレジストを前記溝分離材料上に形成する工程と、
    前記開孔を介して前記溝分離材料内に溝をエッチング形成し、下側の前記ポリシリコン層の一部を露出させる工程と、
    前記ポリシリコン層の露出部上であって前記溝の底部内に、エピタキシャルシリコンを成長させる工程と、
    前記溝の側壁に沿って、及び、前記エピタキシャルシリコン上に、金属層を堆積する工程と、
    半導体アセンブリに1回目のアニーリングを行うことにより、前記金属層を前記エピタキシャルシリコンと反応させて前記溝の内部に金属珪化物を形成する工程と、
    非反応の金属層を全て除去する工程と、
    2回目のアニーリングを行って、金属珪化物が下側の前記ポリシリコン層の部分に侵入しないようにしながら、金属珪化物の前記形成を継続する工程と、
    少なくとも、前記溝内であって前記金属珪化物上に、分離キャップ材料を堆積する工程と、
    前記分離キャップ材料を前記溝内であって前記金属珪化物上にのみ残し、その他の分離キャップ材料を除去する工程と、
    前記残った分離キャップ材料に対し選択性としたエッチング処理を行って、前記残った分離キャップ材料からなるトランジスタ分離キャップと、前記金属珪化物と、前記ポリシリコン層の一部と、前記酸化物層の一部からなるトランジスタゲート酸化物とを有するトランジスタゲート積層体を形成する工程と、
    前記導電性シリコン基板内に導電性ドーパントを注入して、トランジスタの、わずかにドーピングされた自己整列のソース/ドレイン領域を形成する工程と、
    トランジスタゲート側壁分離スペーサを形成する工程と、
    前記導電性シリコン基板内に導電性ドーパントを注入して、トランジスタのソース/ドレイン領域を形成する工程と、
    を含む半導体メモリ装置形成方法。
  14. 請求項13に記載の半導体メモリ装置形成方法において、前記金属層がコバルト又はニッケルからなる半導体メモリ装置形成方法。
  15. 請求項13に記載の半導体メモリ装置形成方法において、前記溝の底部内にエピタキシャルシリコンを成長させる前記工程の前に、前記溝により形成された前記溝分離材料の側壁上に内側溝スペーサを形成する工程を更に含む半導体メモリ装置形成方法。
  16. 請求項15に記載の半導体メモリ装置形成方法において、前記残った分離キャップ材料に対し選択性とした前記エッチング処理を行なう期間中に、前記内側溝スペーサを除去する工程を更に含む半導体メモリ装置形成方法。
  17. 請求項13に記載の半導体メモリ装置形成方法において、
    前記金属層上に導電層を堆積して、金属珪化物の粒子の形成を制御する工程と、
    前記非反応の金属層を全て除去する際に前記導電層を除去する工程と、
    を更に含む半導体メモリ装置形成方法。
  18. トランジスタを有する半導体アセンブリを形成する半導体アセンブリ形成方法であって、
    上に酸化物層の形成された導電性シリコン基板内に形成された分離領域を有する基板を準備する工程と、
    前記酸化物層上にポリシリコン層を形成する工程と、
    前記ポリシリコン層上に溝分離材料を形成する工程と、
    開孔を有するパターン化したホトレジストを前記溝分離材料上に形成する工程と、
    前記開孔を介して前記溝分離材料内に溝をエッチング形成し、下側の前記ポリシリコン層の一部を露出させる工程と、
    前記ポリシリコン層の露出部上であって前記溝の底部内に、エピタキシャルシリコンを成長させる工程と、
    前記溝の側壁に沿って、及び、前記エピタキシャルシリコン上に、金属層を堆積する工程と、
    半導体アセンブリに1回目のアニーリングを行うことにより、前記金属層を前記エピタキシャルシリコンと反応させて前記溝の内部に金属珪化物を形成する工程と、
    非反応の金属層を全て除去する工程と、
    2回目のアニーリングを行って、金属珪化物が下側の前記ポリシリコン層の部分に侵入しないようにしながら、金属珪化物の前記形成を継続する工程と、
    少なくとも、前記溝内であって前記金属珪化物上に、分離キャップ材料を堆積する工程と、
    前記分離キャップ材料を前記溝内であって前記金属珪化物上にのみ残し、その他の分離キャップ材料を除去する工程と、
    前記残った分離キャップ材料に対し選択性としたエッチング処理を行って、前記残った分離キャップ材料からなるトランジスタ分離キャップと、前記金属珪化物と、前記ポリシリコン層の一部と、前記酸化物層の一部からなるトランジスタゲート酸化物とを有するトランジスタゲート積層体を形成する工程と、
    前記導電性シリコン基板内に導電性ドーパントを注入して、トランジスタの、わずかにドーピングされた自己整列のソース/ドレイン領域を形成する工程と、
    トランジスタゲート側壁分離スペーサを形成する工程と、
    前記導電性シリコン基板内に導電性ドーパントを注入して、トランジスタのソース/ドレイン領域を形成する工程と、
    を含む半導体アセンブリ形成方法。
  19. 請求項18に記載の半導体アセンブリ形成方法において、前記金属層がコバルト又はニッケルからなる半導体アセンブリ形成方法。
  20. 請求項18に記載の半導体アセンブリ形成方法において、前記溝の底部内にエピタキシャルシリコンを成長させる前記工程の前に、前記溝により形成された前記溝分離材料の側壁上に内側溝スペーサを形成する工程を更に含む半導体アセンブリ形成方法。
  21. 請求項20に記載の半導体アセンブリ形成方法において、前記残った分離キャップ材料に対し選択性とした前記エッチング処理を行なう期間中に、前記内側溝スペーサを除去する工程を更に含む半導体アセンブリ形成方法。
  22. 請求項18に記載の半導体アセンブリ形成方法において、
    前記金属層上に導電層を堆積して、金属珪化物の粒子の形成を制御する工程と、
    前記非反応の金属層を全て除去する際に前記導電層を除去する工程と、
    を更に含む半導体アセンブリ形成方法。
  23. トランジスタを有する半導体システムを形成する半導体システム形成方法であって、
    上に酸化物層の形成された導電性シリコン基板内に形成された分離領域を有する基板を準備する工程と、
    前記酸化物層上にポリシリコン層を形成する工程と、
    前記ポリシリコン層上に溝分離材料を形成する工程と、
    開孔を有するパターン化したホトレジストを前記溝分離材料上に形成する工程と、
    前記開孔を介して前記溝分離材料内に溝をエッチング形成し、下側の前記ポリシリコン層の一部を露出させる工程と、
    前記ポリシリコン層の露出部上であって前記溝の底部内に、エピタキシャルシリコンを成長させる工程と、
    前記溝の側壁に沿って、及び、前記エピタキシャルシリコン上に、金属層を堆積する工程と、
    半導体アセンブリに1回目のアニーリングを行うことにより、前記金属層を前記エピタキシャルシリコンと反応させて前記溝の内部に金属珪化物を形成する工程と、
    非反応の金属層を全て除去する工程と、
    2回目のアニーリングを行って、金属珪化物が下側の前記ポリシリコン層の部分に侵入しないようにしながら、金属珪化物の前記形成を継続する工程と、
    少なくとも、前記溝内であって前記金属珪化物上に、分離キャップ材料を堆積する工程と、
    前記分離キャップ材料を前記溝内であって前記金属珪化物上にのみ残し、その他の分離キャップ材料を除去する工程と、
    前記残った分離キャップ材料に対し選択性としたエッチング処理を行って、前記残った分離キャップ材料からなるトランジスタ分離キャップと、前記金属珪化物と、前記ポリシリコン層の一部と、前記酸化物層の一部からなるトランジスタゲート酸化物とを有するトランジスタゲート積層体を形成する工程と、
    前記導電性シリコン基板内に導電性ドーパントを注入して、トランジスタの、わずかにドーピングされた自己整列のソース/ドレイン領域を形成する工程と、
    トランジスタゲート側壁分離スペーサを形成する工程と、
    前記導電性シリコン基板内に導電性ドーパントを注入して、トランジスタのソース/ドレイン領域を形成する工程と、
    を含む半導体システム形成方法。
  24. 請求項23に記載の半導体システム形成方法において、前記金属層がコバルト又はニッケルからなる半導体システム形成方法。
  25. 請求項23に記載の半導体システム形成方法において、前記溝の底部内にエピタキシャルシリコンを成長させる前記工程の前に、前記溝により形成された前記溝分離材料の側壁上に内側溝スペーサを形成する工程を更に含む半導体システム形成方法。
  26. 請求項25に記載の半導体システム形成方法において、前記残った分離キャップ材料に対し選択性とした前記エッチング処理を行なう期間中に、前記内側溝スペーサを除去する工程を更に含む半導体システム形成方法。
  27. 請求項23に記載の半導体システム形成方法において、
    前記金属層上に導電層を堆積して、金属珪化物の粒子の形成を制御する工程と、
    前記非反応の金属層を全て除去する際に前記導電層を除去する工程と、
    を更に含む半導体システム形成方法。
  28. 電界効果トランジスタ用のトランジスタゲートを形成するトランジスタゲート形成方法であって、
    上に酸化物層の形成された導電性シリコン基板内に形成された分離領域を有する基板を準備する工程と、
    前記酸化物層上にポリシリコン層を形成する工程と、
    前記ポリシリコン層上に溝分離材料を形成する工程と、
    開孔を有するパターン化したホトレジストを前記溝分離材料上に形成する工程と、
    前記開孔を介して前記溝分離材料内に溝をエッチング形成し、前記溝分離材料内に溝側壁を形成するとともに下側の前記ポリシリコン層の一部を露出させる工程と、
    前記ポリシリコン層の露出部上であって前記溝の底部内に、厚さを400〜500オングストロームとしたエピタキシャルシリコンを成長させる工程と、
    前記溝の側壁に沿って、及び、前記エピタキシャルシリコン上に、コバルト層を堆積する工程と、
    前記コバルト層上にチタン層を堆積する工程と、
    半導体アセンブリに1回目のアニーリングを500〜600℃の温度範囲で30〜60秒間行うことにより、前記コバルト層を前記エピタキシャルシリコンと反応させて前記溝の内部にコバルト珪化物を形成する工程と、
    非反応のチタン層及びコバルト層を全て除去する工程と、
    800〜900℃の温度範囲で30〜60秒間の急速加熱処理工程を半導体アセンブリに行うことによる2回目のアニーリングを行ってコバルト珪化物の前記形成を促進させ、コバルト珪化物が100〜350オングストロームの厚さとなるが、下側の前記ポリシリコン層の部分に侵入しないようにする工程と、
    少なくとも、前記溝内であって前記コバルト珪化物上に、分離キャップ材料を堆積する工程と、
    前記分離キャップ材料を前記溝内であって前記コバルト珪化物上にのみ残し、その他の分離キャップ材料を除去するエッチング処理工程と、
    前記残った分離キャップ材料に対し選択性としたエッチング処理を行って、前記残った分離キャップ材料からなるトランジスタ分離キャップと、前記コバルト珪化物と、前記ポリシリコン層の一部と、前記酸化物層の一部からなるトランジスタゲート酸化物とを有するトランジスタゲート積層体を形成する工程と、
    導電性ドーパントを注入して、トランジスタの、わずかにドーピングされた自己整列のソース/ドレイン領域を形成する工程と、
    トランジスタゲート側壁分離スペーサを形成する工程と、
    導電性ドーパントを注入して、トランジスタのソース/ドレイン領域を形成する工程と、
    を含むトランジスタゲート形成方法。
  29. 請求項28に記載のトランジスタゲート形成方法において、前記溝の底部内にエピタキシャルシリコンを成長させる前記工程の前に、前記溝により形成された前記溝分離材料の側壁上に内側溝スペーサを形成する工程を更に含むトランジスタゲート形成方法。
  30. 請求項29に記載のトランジスタゲート形成方法において、前記残った分離キャップ材料に対し選択性とした前記エッチング処理を行なう期間中に、前記内側溝スペーサを除去する工程を更に含むトランジスタゲート形成方法。
JP2006523875A 2003-08-15 2004-08-04 集積化金属珪化物ゲート電極を有するトランジスタの形成方法 Active JP4826914B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/641,851 2003-08-15
US10/641,851 US7012024B2 (en) 2003-08-15 2003-08-15 Methods of forming a transistor with an integrated metal silicide gate electrode
PCT/US2004/025085 WO2005020282A2 (en) 2003-08-15 2004-08-04 Methods of forming a transistor with an integrated metal silicide gate electrode

Publications (2)

Publication Number Publication Date
JP2007503116A JP2007503116A (ja) 2007-02-15
JP4826914B2 true JP4826914B2 (ja) 2011-11-30

Family

ID=34136456

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006523875A Active JP4826914B2 (ja) 2003-08-15 2004-08-04 集積化金属珪化物ゲート電極を有するトランジスタの形成方法

Country Status (9)

Country Link
US (2) US7012024B2 (ja)
EP (1) EP1656696B1 (ja)
JP (1) JP4826914B2 (ja)
KR (1) KR100669627B1 (ja)
CN (1) CN100421226C (ja)
AT (1) ATE465511T1 (ja)
DE (1) DE602004026737D1 (ja)
TW (1) TWI245418B (ja)
WO (1) WO2005020282A2 (ja)

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7012024B2 (en) 2003-08-15 2006-03-14 Micron Technology, Inc. Methods of forming a transistor with an integrated metal silicide gate electrode
KR100555541B1 (ko) * 2003-12-23 2006-03-03 삼성전자주식회사 코발트 실리사이드막 형성방법 및 그 형성방법을 이용한반도체 장치의 제조방법
US7371627B1 (en) * 2005-05-13 2008-05-13 Micron Technology, Inc. Memory array with ultra-thin etched pillar surround gate access transistors and buried data/bit lines
US7120046B1 (en) 2005-05-13 2006-10-10 Micron Technology, Inc. Memory array with surrounding gate access transistors and capacitors with global and staggered local bit lines
US7888721B2 (en) * 2005-07-06 2011-02-15 Micron Technology, Inc. Surround gate access transistors with grown ultra-thin bodies
US7768051B2 (en) * 2005-07-25 2010-08-03 Micron Technology, Inc. DRAM including a vertical surround gate transistor
US7875959B2 (en) * 2005-08-31 2011-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure having selective silicide-induced stress and a method of producing same
US7696567B2 (en) * 2005-08-31 2010-04-13 Micron Technology, Inc Semiconductor memory device
US7867845B2 (en) * 2005-09-01 2011-01-11 Micron Technology, Inc. Transistor gate forming methods and transistor structures
US7678607B2 (en) 2007-02-05 2010-03-16 Intermolecular, Inc. Methods for forming resistive switching memory elements
US7704789B2 (en) 2007-02-05 2010-04-27 Intermolecular, Inc. Methods for forming resistive switching memory elements
US7972897B2 (en) 2007-02-05 2011-07-05 Intermolecular, Inc. Methods for forming resistive switching memory elements
US8097878B2 (en) 2007-03-05 2012-01-17 Intermolecular, Inc. Nonvolatile memory elements with metal-deficient resistive-switching metal oxides
US7629198B2 (en) 2007-03-05 2009-12-08 Intermolecular, Inc. Methods for forming nonvolatile memory elements with resistive-switching metal oxides
KR101432344B1 (ko) * 2007-03-05 2014-08-20 인터몰레큘러 인코퍼레이티드 저항 스위칭 금속 산화물을 가진 비휘발성 메모리 소자를 형성하는 방법
JP5422552B2 (ja) 2007-05-09 2014-02-19 インターモレキュラー, インコーポレイテッド 抵抗性スイッチング不揮発性メモリ要素
WO2009015298A2 (en) 2007-07-25 2009-01-29 Intermolecular, Inc. Nonvolatile memory elements
JP5501966B2 (ja) 2007-07-25 2014-05-28 インターモレキュラー, インコーポレイテッド 多状態の不揮発性メモリ素子
US20090236675A1 (en) * 2008-03-21 2009-09-24 National Tsing Hua University Self-aligned field-effect transistor structure and manufacturing method thereof
KR101604054B1 (ko) * 2009-09-03 2016-03-16 삼성전자주식회사 반도체 소자 및 그 형성방법
US8030196B2 (en) * 2010-01-12 2011-10-04 Samsung Electronics Co., Ltd. Transistor formation using capping layer
CN113594237B (zh) * 2020-04-30 2023-09-26 长鑫存储技术有限公司 埋入式栅极制备方法和半导体器件制备方法
CN111739839B (zh) * 2020-06-23 2021-07-02 武汉新芯集成电路制造有限公司 自对准接触孔的制造方法、半导体器件的制造方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03120837A (ja) * 1989-09-28 1991-05-23 Commiss Energ Atom 高電圧mic集積回路の製造方法
JPH11204791A (ja) * 1997-11-17 1999-07-30 Toshiba Corp 半導体装置及びその製造方法
JP2001223180A (ja) * 2001-01-16 2001-08-17 Hitachi Ltd 半導体装置およびその製造方法
JP2002530864A (ja) * 1998-11-12 2002-09-17 インテル・コーポレーション 階段ソース/ドレイン接合部を有する電界効果トランジスタ構造

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5710450A (en) * 1994-12-23 1998-01-20 Intel Corporation Transistor with ultra shallow tip and method of fabrication
US5923971A (en) * 1996-10-22 1999-07-13 International Business Machines Corporation Reliable low resistance strap for trench storage DRAM cell using selective epitaxy
US6291868B1 (en) * 1998-02-26 2001-09-18 Micron Technology, Inc. Forming a conductive structure in a semiconductor device
US6177699B1 (en) * 1998-03-19 2001-01-23 Lsi Logic Corporation DRAM cell having a verticle transistor and a capacitor formed on the sidewalls of a trench isolation
US6107154A (en) * 1998-05-12 2000-08-22 United Microelectronics Corp. Method of fabricating a semiconductor embedded dynamic random-access memory device
US6177333B1 (en) * 1999-01-14 2001-01-23 Micron Technology, Inc. Method for making a trench isolation for semiconductor devices
US6358788B1 (en) 1999-08-30 2002-03-19 Micron Technology, Inc. Method of fabricating a wordline in a memory array of a semiconductor device
US6372618B2 (en) * 2000-01-06 2002-04-16 Micron Technology, Inc. Methods of forming semiconductor structures
CN1173390C (zh) * 2000-10-30 2004-10-27 世界先进积体电路股份有限公司 具嵌入式栅极的金属氧化物半导体场效应晶体管的形成方法
US6346477B1 (en) * 2001-01-09 2002-02-12 Research Foundation Of Suny - New York Method of interlayer mediated epitaxy of cobalt silicide from low temperature chemical vapor deposition of cobalt
US6388327B1 (en) * 2001-01-09 2002-05-14 International Business Machines Corporation Capping layer for improved silicide formation in narrow semiconductor structures
US6406962B1 (en) * 2001-01-17 2002-06-18 International Business Machines Corporation Vertical trench-formed dual-gate FET device structure and method for creation
US6458679B1 (en) * 2001-02-12 2002-10-01 Advanced Micro Devices, Inc. Method of making silicide stop layer in a damascene semiconductor structure
US6498062B2 (en) * 2001-04-27 2002-12-24 Micron Technology, Inc. DRAM access transistor
DE10126579C2 (de) * 2001-05-31 2003-12-11 Infineon Technologies Ag Verfahren zur Herstellung eines Gatestapels in ultrahochintegrierten Halbleiterspeichern
US20030011018A1 (en) * 2001-07-13 2003-01-16 Hurley Kelly T. Flash floating gate using epitaxial overgrowth
KR100418928B1 (ko) * 2001-10-24 2004-02-14 주식회사 하이닉스반도체 엠디엘 반도체 소자의 제조 방법
US6657223B1 (en) * 2002-10-29 2003-12-02 Advanced Micro Devices, Inc. Strained silicon MOSFET having silicon source/drain regions and method for its fabrication
US7132065B2 (en) 2003-02-12 2006-11-07 3M Innovative Properties Company Process for manufacturing polymeric optical film
KR100538806B1 (ko) * 2003-02-21 2005-12-26 주식회사 하이닉스반도체 에피택셜 c49상의 티타늄실리사이드막을 갖는 반도체소자 및 그 제조 방법
US7012024B2 (en) 2003-08-15 2006-03-14 Micron Technology, Inc. Methods of forming a transistor with an integrated metal silicide gate electrode

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03120837A (ja) * 1989-09-28 1991-05-23 Commiss Energ Atom 高電圧mic集積回路の製造方法
JPH11204791A (ja) * 1997-11-17 1999-07-30 Toshiba Corp 半導体装置及びその製造方法
JP2002530864A (ja) * 1998-11-12 2002-09-17 インテル・コーポレーション 階段ソース/ドレイン接合部を有する電界効果トランジスタ構造
JP2001223180A (ja) * 2001-01-16 2001-08-17 Hitachi Ltd 半導体装置およびその製造方法

Also Published As

Publication number Publication date
CN100421226C (zh) 2008-09-24
US20060019457A1 (en) 2006-01-26
JP2007503116A (ja) 2007-02-15
TWI245418B (en) 2005-12-11
EP1656696A2 (en) 2006-05-17
US20050037584A1 (en) 2005-02-17
KR100669627B1 (ko) 2007-01-16
CN1868047A (zh) 2006-11-22
KR20060032662A (ko) 2006-04-17
WO2005020282A2 (en) 2005-03-03
EP1656696A4 (en) 2008-07-23
TW200511572A (en) 2005-03-16
DE602004026737D1 (de) 2010-06-02
ATE465511T1 (de) 2010-05-15
WO2005020282A3 (en) 2006-02-09
US7351659B2 (en) 2008-04-01
EP1656696B1 (en) 2010-04-21
US7012024B2 (en) 2006-03-14

Similar Documents

Publication Publication Date Title
JP4826914B2 (ja) 集積化金属珪化物ゲート電極を有するトランジスタの形成方法
JP5102628B2 (ja) Cmos技術における自己整合デュアル・サリサイド形成のための方法
US6806534B2 (en) Damascene method for improved MOS transistor
JP2005072577A (ja) コンタクトマージンが確保できるシリサイド膜を具備した高集積半導体素子及びその製造方法
JPH11354651A (ja) Cmos自己整合ストラップ状相互接続およびその方法
JP2008522443A (ja) Cmosデバイスにおいて自己整合デュアル・フルシリサイド・ゲートを形成するための方法
US7884002B2 (en) Method of fabricating self aligned Schottky junctions for semiconductor devices
JP2003188274A (ja) 半導体装置及びその製造方法
JP2003174101A (ja) 半導体装置および半導体装置の製造方法
KR100849180B1 (ko) 게이트 실리사이드를 갖는 반도체소자의 제조방법
JP2014146816A (ja) 珪化コバルトを含むトランジスタゲートを有する半導体デバイス、及び、メモリデバイスのトランジスタゲートを製造する方法
US20100197089A1 (en) Methods of fabricating semiconductor devices with metal-semiconductor compound source/drain contact regions
USRE42180E1 (en) Semiconductor device having metal silicide layer on source/drain region and gate electrode and method of manufacturing the same
US7109116B1 (en) Method for reducing dendrite formation in nickel silicon salicide processes
US20020058402A1 (en) Method of forming an etch stop layer during manufacturing of a semiconductor device
KR100670619B1 (ko) 반도체 장치 및 그의 제조방법
US7326644B2 (en) Semiconductor device and method of fabricating the same
JP2004253778A (ja) 半導体装置及びその製造方法
US6180474B1 (en) Method for fabricating semiconductor device
KR100664328B1 (ko) 반도체 디바이스의 제조 방법
JP2000196017A5 (ja) 半導体装置の製造方法
KR100713927B1 (ko) 반도체 소자의 제조방법
JP2001274389A (ja) 半導体装置およびその製造方法
JP2000068511A (ja) 半導体装置の製造方法
JPH11176963A (ja) 半導体装置およびその製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060616

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20070625

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20070713

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20070625

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090331

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100202

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100401

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20100401

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20100401

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20101019

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101201

Free format text: JAPANESE INTERMEDIATE CODE: A821

Effective date: 20101201

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110809

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110831

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140922

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 4826914

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250