JP4782010B2 - 低温かつ低堆積レートでteosキャップ層を形成する方法 - Google Patents

低温かつ低堆積レートでteosキャップ層を形成する方法 Download PDF

Info

Publication number
JP4782010B2
JP4782010B2 JP2006524637A JP2006524637A JP4782010B2 JP 4782010 B2 JP4782010 B2 JP 4782010B2 JP 2006524637 A JP2006524637 A JP 2006524637A JP 2006524637 A JP2006524637 A JP 2006524637A JP 4782010 B2 JP4782010 B2 JP 4782010B2
Authority
JP
Japan
Prior art keywords
layer
silicon dioxide
deposition
resist
cap layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2006524637A
Other languages
English (en)
Other versions
JP2007521660A (ja
Inventor
リュルケ ハルトムート
フイ カジャ
ロメロ カーラ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Micro Devices Inc
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Priority claimed from PCT/US2004/021598 external-priority patent/WO2005024922A1/en
Publication of JP2007521660A publication Critical patent/JP2007521660A/ja
Application granted granted Critical
Publication of JP4782010B2 publication Critical patent/JP4782010B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3146Carbon layers, e.g. diamond-like layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)

Description

本発明は一般的に集積回路の製造に関し、より具体的には、最新のマスキング方式を要求する高度なトリムエッチ技術によって基板上に電界効果トランジスタなどの小型の回路素子を形成する方法に関し、回路素子の寸法は、関連するリソグラフィカル技術の解像度よりも非常に小さい。
集積回路の回路素子の加工寸法を着実に縮小するという近年の傾向は今後も続き、費用効率の高い方法で非常に多くの集積回路を形成可能できる、再現可能でロバストなプロセスの確立が求められている。現在、量産品として入手可能な高度な集積回路には、マスクから基板上に形成された材料層へパターン転写するために使用するリソグラフィ装置の光学解像度の寸法を大幅に下回る素子が含まれる。現行では、回路素子の最小寸法は100nm以下であり、マスクから基板表面へ光学的にパターン転写するために使用する放射波長は強い紫外線領域に、例えば248nmに、最近開発された技術では約193nmにある。この波長領域においては、レンズなどの光透過性の素子の吸収を無視することができず、また、波長が更に短くなると光の吸収が大幅に増加する。従って、単にリソグラフィカル装置の光源の波長を短くすることが直接の開発ではなく、また、加工寸法が50nm以下の回路素子の量産過程には簡単に実装できない。従って、リソグラフィにより、レジストフィーチャを用いて獲得できる最小寸法から、最終的な所望の寸法を得ることができる最新のトリムプロセスが求められている。従って、一方では、マスクから基板へ確実に回路パターンの転送を行う総合的解像度は、フォトリソグラフィカル装置に固有の光学解像度、フォトレジスト等のフォトリソグラフィにおけるパターニングプロセスに関連する材料の特徴、および、フォトレジストにおける有害な散乱効果と定在波効果を最小に抑えるために与えられる全ての反射防止膜(ARC:anti-reflective coatings)の特性によって決定される。また、総合的解像度は、レジストとARC層の形成、および、これらの層の、露光後のエッチングに関連する堆積とエッチ処理によっても決定される。特に、高度なARC層とリソグラフィマスク技術と組み合わせて、高度な非線形性状のフォトレジストによって、フォトリソグラフィ装置に固有の光学解像度の寸法を大幅に下回るレジストパターンを形成することができる。また、レジストパターンを下位の材料層に転写するための後続の異方性ステップにおいて、エッチマスクとしての役目を果たすレジストパターンの加工寸法を更に縮小するために、更にポストリソグラフィトリムエッチプロセスを行う。従って、このレジストトリムプロセスによって、フォトリソグラフィの波長よりもずっと短い寸法にまでゲート電極の限界寸法を縮小することができる。
ゲート長のばらつきはどのようなものであっても、対応する最終デバイスの動作速度のばらつきとして直接的に現れるため、ゲート積層体をパターニングするための後続の異方性エッチプロセスのために精密に規定されたマスクを形成するように、レジストトリムプロセスを正確に制御することは重要である。デバイスを継続的に縮小するためには、レジストトリミングの概念を更に広げて、所定の露光波長に対して所望の縮小した限界寸法を獲得する必要があることから、トリムプロセス中において、レジスト層の厚さを増加したレジスト材料の除去に適合させる必要があり、、その結果、レジストとボトム反射防止膜(ARC)からなる積層体の光学的特徴を実質的に低下させることになる。特に、ボトムARCの反射率は、リソグラフィ処理後の線幅に実質的に影響を及ぼし、また、後続のトリムプロセスによっては、設計ルールによって決まる厳格な処理の許容差(tight process tolerances)内で効率的に補償することができないばらつきを生じる。
このために、ボトムARCとして絶縁性のキャップ層と組み合わせてアモルファスカーボン層を形成し、その結果、反射率の制御性を実質的に向上させることができるプロセス技術が開発されている。更に、レジスト層の厚さを薄くした、トリムしたレジストフィーチャに対応してカーボン/キャップ積層体を簡単に転写でき、その結果、ポリシリコン層のエッチに使用するカーボン/キャップ積層体にハードマスクフィーチャを形成する。
図1a‐図1cには、カーボン/キャップ積層体に基づいて電界効果トランジスタのゲート電極を形成するための一般的な従来のプロセス手順がより詳細に説明されている。
図1aは、材料層をパターニングする前の半導体デバイス100の断面図を概略的に示す。この材料層は、248nmまたは193nmの波長を使用した最新のフォトリソグラフィに基づいてパターニングされるとともに、対応するレジストトリムプロセスによってトリミングされる、レジストマスクフィーチャによってパターン形成されるハードマスクを使用した最新のエッチプロセスに基づいてパターニングされる。
半導体デバイス100は、シリコン基板、あるいはパターン形成される材料層、例えばゲート絶縁層103およびポリシリコン層104を含むゲート積層体102など、が上に形成済みのSOI(シリコンオンインシュレータ)、などの基板101を備える。ポリシリコン層104の上には、アモルファスカーボン層105が形成され、続いてキャップ層が形成される。このキャップ層は、通常は、二酸化シリコン、酸窒化シリコン、非窒化絶縁層(nitrogen-free dielectric layers)、その他同様のものなどからなる。酸窒化シリコンは、酸素/窒素の比率を変えることで光学特性を調節できるため、用いられる。特定の露光波長と使用するレジストのタイプに対して、アモルファスカーボン層105およびキャップ層106が効率的な反射防止膜として協働するように、アモルファスカーボン層105およびキャップ層106を設計する。先に述べたように、ポリシリコン層をパターニングする間に、反射防止膜の反射率は、レジストトリムプロセスの精度に実質的に影響を及ぼし、さらに、ポリシリコンフィーチャの最終的に得られるゲート長にも影響を及ぼす。デバイスの仕様を満たすために、50nm以下のゲート長に対しては、1ナノメータ未満の偏差が必要である。従って、初期横方向寸法108と初期高さ109を有するレジストマスクフィーチャ107の寸法のばらつきを減らすために、層106および層105によって基板101全体にわたって、かつ、基板から基板へと形成される反射防止膜によってもたらされる反射率には、高度な均一性が求められる。
図1aに示す半導体デバイス100を形成するための一般的なプロセスフローは、以下のプロセスを有する。まず、ゲート積層体102を形成し、ゲート誘電体のための所要の厚さおよび材料組成を得るために、最新の酸化/堆積プロセスを使用してゲート絶縁層103を形成する。続いて、公知の処理手法に基づき、低圧化学気相成長法(LPCVD)によってポリシリコン層104を堆積する。その後、プラズマエンハンストCVD法によって適切な前駆物質からアモルファスカーボン層105を堆積する。ポリシリコン104をパターニングするための後続の異方性エッチプロセス中の層105のエッチの選択性と、層105の光学特性を考慮して層105の厚さを調整する。次に、例えば酸窒化シリコンからなるキャップ層106をPECVDによって堆積する。ここで、特定露光波長の所要の位相シフトをもたらすように、キャップ層106の厚さおよび組成を選択し、この結果、アモルファスカーボン層105との協働により、リソグラフィの露光の間における放射光の反射が低減される。その後、フォトレジスト層を堆積し、その特性をリソグラフィ中に使用する特定の露出波長に適合させる。任意の露光前および露光後のベークプロセス中の、ある程度の収縮を除いて、レジスト層の厚さは、レジストマスクフィーチャ107の初期の高さ109と実質的に一致する。所定の焦点深度によりリソグラフィプロセスの高度な解像度を実現するためには、使用する露光波長に応じて、厚さが約100−300nmのレジスト層を提供することが求められている。初期の横方向寸法108から所望の最終的な寸法108Aまでレジスト層の露光と現像を行った後における破線で描かれているレジストマスク107Aの縮小は、これに対応する初期の高さ109から最終の高さ109Aまでの縮小を伴う。最終の高さ109Aは、ポリシリコン層104を直接的にパターニングするためのエッチマスクとしての役目を果たすには十分ではなく、これは、約80−100nmのゲート長が必要な半導体デバイスに対する一般的なプロセス手順である。このために、アモルファスカーボン層105が提供され、かつ、反応性イオンエッチングによってアモルファスカーボン層105を簡単にパターン形成することができる。ここで、縮小したレジストマスクフィーチャ107Aを得るためにレジストトリムプロセスに曝した後のレジストマスクフィーチャ107の最終的な高さ109Aは、アモルファスカーボン層105およびキャップ層106を確実にパターン形成するのに十分である。レジスト層と下位のアモルファスカーボン層105との直接的接触を実質的に回避するためには、キャップ層105が必要である。キャップ層がない場合は、レジストが変質してしまい、また、最終的に得られるポリシリコンフィーチャの欠陥率が増加する。その理由は、接触面においてカーボンとフォトレジストとの間に化学反応が生じるためであり、その結果、フォトレジストの光学特徴が変化する可能性があり、また、現像が不十分なレジスト部が生じて、その部分がポリシリコンライン104の中にパターン形成されることもある。
図1bは、縮小したレジストマスクフィーチャ107Aを用いて、カーボン層105の残留部105Aとキャップ層106の残留部106Aからなるハードマスクを形成するための、レジストトリム処理と後続の反応性イオンエッチングが完了した後の半導体デバイス100を概略的に示す。その後、ポリシリコン層104の異方性エッチングを行う前に、縮小したレジストマスクフィーチャ107Aを取り除く。このとき、薄いキャップ層の残留部106Aも除去される。この一方、アモルファスカーボン層の残留部105Aは、所要のエッチの選択性を与えるとともに、横方向寸法108Aをポリシリコン層104Aに転送することができる。
図1cは、異方性エッチプロセスが完了し、その結果、横方向寸法108Aを実質的に示すポリシリコンフィーチャ104Aを形成する半導体デバイス100を示す。上記に例示したプロセス手順によって、横方向寸法108Aが50nm以下のポリシリコンフィーチャ104Aを形成することができるが、欠陥率が適度に高いポリシリコンフィーチャ104Aが観察されることが分かる。これに対して実行される調査においては、欠陥率と、ハードマスク104Aを規定するために使用されるキャップ層106の形式とが相関していることを示していると考えられる。例えば、窒酸化シリコンからなるキャップ層106は、重大な欠陥率を示し、その結果、形成プロセスを信頼できないものにする。一方で、二酸化シリコンからなるキャップ層106をは、欠陥率を低減させる可能性がある。現在利用可能な処理手法では、信頼できる方法で対応する従来の堆積処理を制御することができない。
上述の問題点に鑑みると、カーボンハードマスクを用いてポリシリコンフィーチャをパターニングするために、低減した欠陥率と高められた処理の信頼性を有する、キャップ層を形成するための、改良された処理が求められている。
発明の概要
本発明は、概して、ポリシリコンフィーチャをパターンニングするために、アモルファスカーボンのハードマスク層上に二酸化シリコンのキャップ層を形成するための方法に関する。本発明は、以下の説明に限定されることなく、370℃以下の温度でプラズマエンハンスト化学気相成長法によって形成された二酸化シリコン層を提供することによって、最終的に得られるポリシリコンフィーチャの欠陥を実質的に減らすことができると考えられている。この発見に基づいて、下層のアモルファスカーボン層とともに、所望の光学特徴を提供するために求められる、二酸化シリコン層の厚さを5−50nmの範囲内で確実に制御することができるように、二酸化シリコン層を形成するためのプラズマエンハンストCVDプロセスが設計される。一般的に、低温での堆積速度を速めることができるTEOSベースのプラズマエンハンストCVDプロセスは、本発明では、欠陥率の低減が求められるので、ある実施形態では、層厚を確実に制御し、その結果、二酸化シリコン/アモルファスカーボン積層体の光学特徴を確実なものにするために、堆積速度を低下させるように堆積処理を制御する。
本発明の1つの例示的な実施形態においては、二酸化シリコンキャップ層を形成する方法は、基板上にアモルファスカーボン層を形成するステップと、厚さが約5−50nmの範囲のキャップ層を形成するために、約370℃あるいはそれ以下の温度で、プラズマ雰囲気のTEOSからアモルファスカーボン層上に二酸化シリコンを堆積するステップと、を含む。
更に他の実施形態では、本発明の方法は、実質的に等しい流量でヘリウムと酸素をプラズマ雰囲気に供給するステップを更に含む。
更に他の実施形態では、本発明の方法は、二酸化シリコンを堆積する前に、ヘリウムと酸素を基板周辺に供給するステップを更に含む。
更なる実施形態では、本発明の方法は、堆積処理中の流量未満の流量でヘリウムと酸素を供給する間は、ポンピング工程によって二酸化シリコンを堆積した後に、反応副産物を取り除くステップを更に含む。
本発明の更に他の実施形態によれば、反射防止層を形成する方法は、基板上にパターン形成される材料層を形成するステップと、その材料層上に第1の厚さのアモルファスカーボン層を形成するステップと、を含む。更に、第2の厚さの二酸化シリコン層がアモルファスカーボン層上に約370℃未満の温度で形成される。ここで、第1および第2の厚さは、特定の露光波長において約2%またはそれ未満の反射率を生成するように選択される。
更なる実施形態では、二酸化シリコンは、前駆物質としてTEOSを用いて、プラズマエンハンスト化学気相成長法によって形成される。
更なる実施形態では、本発明の方法は、更に、プラズマエンハンスト化学気相成長法による処理中に処理速度を毎分約200〜400ナノメータの範囲に制御するステップを更に含む。
更なる実施形態では、堆積速度は毎分約280〜320ナノメータの範囲に調節される。
更なる実施形態では、約330℃以下の温度で二酸化シリコンを堆積する。
更なる実施形態では、約280℃〜320℃の範囲の温度で二酸化シリコンを堆積する。
更なる実施形態では、約300℃の温度で二酸化シリコンを堆積する。
更なる実施形態では、本発明の方法は、更に、プラズマ雰囲気の圧力を約4.5〜約6.5Torrの範囲に調節することによって堆積速度を制御するステップを更に含む。
更なる実施形態では、本発明の方法は、更に、毎分約600mg以下にTEOSの供給量を調節するステップを更に含む。
更なる実施形態では、TEOSの供給を毎分約450mg〜毎分約550mgの範囲に調節する。
更なる実施形態では、TEOSの供給を毎分約500mgに調節する。
更なる実施形態では、本発明の方法は、更に、プラズマエンハンスト化学気相成長法の間に、ヘリウムおよび酸素を実質的に等しい流量でプラズマ雰囲気に供給するステップを更に含む。
更なる実施形態では、本発明の方法は更に、二酸化シリコンを堆積する前にヘリウムおよび酸素を基板周辺に供給するステップを更に含む。
更なる実施形態では、本発明の方法は、更に、堆積処理中の流量未満の流量でヘリウムと酸素とを供給する間に、ポンピング工程によって二酸化シリコンを堆積した後、反応副産物を取り除くステップを更に含む。
本発明の更なる利点、目的および実施形態は添付の請求項に定義されており、また、添付の図面を参照すると更なる詳細な説明がより明らかになるであろう。
発明の詳細な説明
以下の詳細な説明と図面に例示されているように、実施形態を用いて本発明を記載したが、以下の詳細な説明と図面は本発明を開示されている特定の例示的実施形態に限定することを意図とするものではなく、むしろ、説明した例示的な実施形態は単に本発明の様々な形態を例証するものであって、本発明の範囲は添付の請求の範囲によって定義される。
図2aないし図2eを参照すると、本発明の更なる例示的な実施形態がより詳細に記載されている。
図2aでは、半導体構造200は、例えば、シリコン基板、SOI(シリコンオンインシュレータ)基板、又は、その他あらゆる適切な基板であって、内部に回路素子を形成するのに適した半導体層をその基板上に形成する基板201を含む。特定の設計基準に従ってパターン形成された材料層204は、基板201上に形成され、この材料層204は、積層体202の一部である。
例えば、積層体202は、ポリシリコン層の形状でゲート絶縁層203および材料層204を含むゲート積層体で構成することができる。しかし、積層体202は、現在および将来のデバイス世代(device generation)の回路素子の形成に求められるあらゆる適切な材料を含むことができる。積層体202上には、実質的にアモルファスカーボンから構成されるカーボン層205が配置され、下位の材料層204に対するエッチの選択性に対して、カーボン層205の厚さを適切に選択する。下位の材料層204は、ゲート長が80nm未満の、特に50nm未満の高度な電界効果トランジスタに対してゲート電極などの回路素子を形成するために、後続の異方性エッチ処理でパターン形成される。例えば、考え得る異方性エッチプロセスにおいては、カーボン層205の厚さをポリシリコン層204の厚さの約30%〜50%に選択し、十分な安全域を提供できるように、ポリシリコン層204に対するカーボン層205のエッチの選択性を、約1:10あるいはそれ以下と規定することができる。
図2aに示す半導体構造200を形成する一般的なプロセス手順には、図1aに関して先に説明しているプロセスを含むことから、ここではそれについての詳細な説明を省略する。しかし、これに関連して、任意の適切な堆積ツールを使用してプラズマエンハンストCVD法によってカーボン層205を形成してもよい。例えば、一実施形態では、ProducerTMもしくはDXZTMという名前でApplied Materialから入手可能な堆積ツールを使用してカーボン層205を形成してもよい。
図2bは、最新の製造ステージにおける半導体構造200を示す。半導体構造200は、ガス雰囲気220に曝される。ガス雰囲気220は、カーボン層205を形成するために既に使用した堆積ツールと同じ堆積ツール内に確立されてよく、もしくは任意のその他の異なる適切な堆積ツールを使用してもよい。ツールの利用率(utilization)とスループットを考慮すると、1つの特定の実施形態では、Applied Materials製の上述した特定の堆積ツールを採用することができる。ガス雰囲気220は、約4.5Torr〜約6.5Torrまでの範囲の、例えば約5.5Torrの規定圧力により規定される。更に、適切なガス混合と濃度とをカーボン層205に与えるために、ヘリウムなどの不活性搬送ガスと酸素などの反応性成分とをガス雰囲気220に供給することができる。1つの特定の実施形態では、搬送ガスと酸素はほとんど同じ流量で供給され、その値は、堆積ツールの仕様に応じて2500sccm〜3500sccmの範囲で変動し、例えば、約3000sccmである。液体注入システムが従来のPECVDツールに一般的に組み込まれていることから、対応の液体注入システムを使って液体テトラエチルオルトシリケート(TEOS:tetra-ethyl-ortho-silicate)を同時に供給してもよい。TEOSの一般的な供給量は、堆積ツールの幾何学的仕様に応じて、毎分約400mg〜毎分約600mgまで変動し、例えば、毎分約500mgに設定する。半導体構造200をガス雰囲気220に曝す間は、基板201を実質的に一定の温度に維持し、その温度は370℃以下である。また、1つの特定の実施形態では、約280℃〜330℃の温度で基板201を維持し、例えば、基板201を約300℃に維持する。後続の低温での二酸化シリコン堆積のために、半導体構造200を“準備する”ためにガス雰囲気220を確立する。この二酸化シリコンの堆積では、厚さを制御し、その結果、最終的に得られる二酸化シリコン層の光学特性を制御できるように、堆積速度を実質的に低減する。
本発明の特定の実施形態では、基板201の周辺に広がる周囲圧力を低減するためのいかなる有効なガス流の供給又はポンプ作用を行うことなく、基板201を所望の温度に設定するための1つまたは複数の安定化ステップによって、ガス雰囲気220が予め確立される。
更に、実際の堆積処理中に使用されているように、堆積中に搬送ガスと反応ガスを供給する吹き出し口に対する基板201の距離を所望の値に調節することができる。更に、ヘリウムなどの搬送ガスを導入してもよく、また続いて、圧力を実際の堆積ステップの間における圧力よりも非常に高い圧力である約10Torr未満に調整する間に、ガス雰囲気に酸素を供給することもできる。
更なる安定化ステップにおいては、ガス状態のTEOSで基板201の表面とガス雰囲気220とを“フラッシュ”することができるように、例えば毎分約700mgの範囲で増量した液体状のTEOSをガス雰囲気220へ供給する間に、実際の堆積圧力にまで圧力を低減する。次に、適度に低い二酸化シリコンの堆積速度のためにガス雰囲気220を“準備”すべく、上記に規定しているように、堆積中にTEOSの供給速度を実際の供給速度に調節する適合ステップを実行する。
図2cは、二酸化シリコンを実際に堆積する間の半導体構造220を示す。このために、従来のPECVDツールに一般的に提供されている対応のプラズマ励起手段(図示せず)を駆動してプラズマ雰囲気220Aを確立する。堆積処理の間、図2bに関連して説明したガス雰囲気220のパラメータを実質的に一定に保ち、毎分約200nm〜約400nmの範囲に堆積速度を設定する。従って、堆積速度は十分に高く、適度な処理量を保証することができ、その一方で、堆積時間を適切に選択することによって、カーボン層205に形成された二酸化シリコン層206の厚さ206Bを制御することができる。
1つの特定の実施形態では、約3〜10秒間に約5nm〜50nmまでの範囲の厚さ206Bを得るように、また、その他の特定の実施形態では、約3〜10秒間に約8nm〜40nmまでの厚さ206Bを得るように、堆積時間を選択する。先に説明しているように、カーボン層205との組み合わせにおいて、特定の露光波長における所望の低反射率を得るように、厚さ206Bを制御して二酸化シリコン層206の光学特性を調節する。PECVDを堆積した二酸化シリコンの屈折率は周知であることから、もしくは、上記に規定した堆積パラメータから生産された二酸化シリコン層が形成された対応のテスト基板を測定することによって、上記に規定した堆積パラメータに対して、対応のデータを簡単に決定できるので、厚さ206Bに対して適切な所望の値を予め決定することができ、かつ、所望の厚さに基づいて堆積を制御することができる。
プラズマ励起手段を停止することによって、および/または、TEOSの供給を中断することによって、層206を形成するための二酸化シリコン堆積プロセスを中断することができる。その後、TEOSの供給を中断し、またヘリウムなどの搬送ガスの流量を低減し、かつ酸素の流量を低減した状態で、ポンプステップを実行することができる。一実施形態では、堆積時の流量(deposition flow rate)と比較して、酸素流量を50%以上低減でき、一方で、ヘリウム流量は酸素流量未満である。最後に、ヘリウム供給を維持しながら酸素供給を中断し、その後、ポンプ処理を継続して反応副産物を取り除きつつ、全てのガス供給を中断することができる。
1つの特定の実施形態では、ガス雰囲気220を確立し、ガス雰囲気220を安定化し、プラズマ雰囲気220Aを与え、吸気処理によってガスの副産物を取り除くために、堆積処理のレシピを用いることができ、このレシピにおいて、以下のステップ:
8〜12秒間、ガスを供給しない状態で、約300℃の温度で基板201の雰囲気を安定化させるステップ;
ガスを流さずに、約8〜約12秒間、堆積温度で温度を維持しながら、堆積における構造と一致するように対応するプロセスチャンバの構造を定める、すなわち、ガスの噴射口と基板210との距離を定めるステップ;
堆積時の流量に実質的に対応する流量で、約4〜約6秒間、ヘリウムを導入するステップ;
例えば、約3000sccmの堆積時の流量で、約9Torrに昇圧し、約8〜約12秒間、酸素を供給してガス雰囲気を確立するステップ;
周囲圧力を、例えば約5.5Torrの堆積圧力にまで下げながら、例えば、毎分、750mg〜850mgの供給増加速度でTEOS供給を起動させるステップ;
残りのパラメータを実質的に一定に保ちながら、約13〜約17秒間、供給速度を毎分約450mg〜550mgに減速することによって、ガス雰囲気220が含むTEOSの量を所望の堆積量に調整するステップ;
最終的な二酸化シリコンの厚さが約5nm〜50nmの範囲となるように、約3〜約8秒の時間間隔内で堆積時間を制御しながら、実質的にパラメータが一定のプラズマ雰囲気220Aを確立するステップ;
TEOSの供給とプラズマの生成を中断する一方で、2〜5秒間、ヘリウムの流量を約1000sccm〜1200sccmへ、また、酸素の流量を約1200sccm〜1400sccmへ調節して、ヘリウムと酸素の流量を減らすステップ;
ヘリウムの供給は維持しながら酸素の供給を中断する、もしくは、反応副産物のポンプ処理を継続しながら、ヘリウムの流量を約1200sccm〜1400sccmに増加させるステップ;
副産物を取り除きながら、全てのガス供給を約9〜約13秒間中断するステップ、を含むことができる。
図2dは、上述した任意の堆積法によって二酸化シリコン層206の堆積が完了した後の半導体構造200を概略的に示す。更に、二酸化シリコン層206上にレジスト層207が形成され、二酸化シリコン層206は、カーボン層205と共に、強度が約2%以下の反射光線もしくは散乱光線223として、レジスト層207に散乱する、入射UV光線222の反射を低減するように、反射防止層として機能する。前述したように、レジスト層207は、フォトリソグラフィに適応される。つまり、特定の露光波長に適応され、層206および層205をパターニングするための後続のエッチプロセスの間に、所要の被覆を与えることができるように、レジスト層207の厚さを付加的に選択する。例えば、193nmのリソグラフィ処理では、リソグラフィツールの焦点深度よってレジスト層の厚さを制限するので、248nmのリソグラフィと比較すると、一般的に薄いレジスト層を必要とする。しかし、二酸化シリコン層206を形成するための、十分に制御可能な堆積処理によって、反射率、つまり、光線223および222の強度比を2%もしくは2%未満にまで低減することができる。同時に、上述した低温処理によって形成される二酸化シリコン層は、カーボン層205との十分に安定性のあるインターフェースを与えることが可能であり、また、層207のフォトレジストとカーボン層205の間に生じる全ての化学反応を確実に抑圧することも可能であるために、最終的に得られるパターン形成されたポリシリコンフィーチャの欠陥率を実質的に低減することができる。レジスト層207の露光および現像の後に、対応するレジストフィーチャの最終的に所望する横方向寸法を得るために、従来の化学エッチングを用いてレジストトリム処理を実行することが可能である。これは層206および層205をパターニングするためにエッチングマスクとして使用される。対応のエッチング法は公知であり、ブレイクスルーエッチステップと後続の異方性エッチプロセスによって、約300nmもしくは約300nm未満の初期のレジスト層207の高さに、層206および層205をそれぞれパターン形成することができる。
図2eは、未だにカーボンエッチのハードマスクフィーチャ205Aで覆われている複数のポリシリコンフィーチャ204Aを示しており、一方で、実質的に所望の横方向寸法208Aを示すポリシリコンフィーチャ204Aを形成するためにポリシリコン層204をパターン形成するためのエッチプロセスの間に、キャップ層206の残留物はすでに“除去”済みである。所望の横方向寸法208Aが50nm以下、例えば45nm以下のポリシリコンフィーチャ204Aを、193nmのリソグラフィで、もしくは248nmのリソグラフィでも製造できるように、低温のプラズマエンハンストCVDプロセスにより、欠陥率、つまり、損傷した、または、仕様と一致しない、または、ポリシリコンの残留物を示す、または、横方向寸法が実質的に外れている、ポリシリコンフィーチャ204Aの数を低減させる。フォトリソグラフィとエッチプロセスの仕様に応じて、193nmのリソグラフィレジストを用いてテスト基板に作られたフィーチャ204Aなどのポリシリコンフィーチャの欠陥率は、例えば、窒酸化シリコンのキャップ層などを使用した従来のプロセスフローで作られたフィーチャの約10分の1未満である。欠陥率が非常に低減するのは、窒素と193nmのレジストとの間の反応が引き起こすレジストの変質度が低減したためであると考えられる。
その結果、本発明は、適度に低い堆積速度によって高度に処理を制御可能な低温のプラズマ支援型CVD処理によって二酸化シリコン層を形成するための、改良された技術を提供する。そのようにすることで二酸化シリコン層の光学特徴を下位のカーボン層に正確に適合させることができ、反射率が2%以下の効果的な反射防止膜としてともに機能する。更に、従来の手法と比較すると、低温PECVD法によって欠陥率が低減するために、処理のロバスト性を高め、かつ、248nmまたは193nmのリソグラフィに基づいて、デバイスを更に縮小することも可能となる。
本発明の更なる修正および変形は、本発明を読めば当業者には明らかであろう。従って、実施形態は例示的なものに過ぎず、また、本発明を実施する一般的な方法を当業者たちに教示するものである。本明細書に示され説明されている発明の形状は現在の好ましい実施形態として理解されたい。
本発明は微細構造で使用するキャップ層の製造プロセスに関する。従って、産業上の利用性は明らかである。
従来のプロセスフローにより、アモルファスカーボンのハードマスクによってポリシリコンラインフィーチャを形成するための様々な製造ステージ中の半導体デバイスの概略的断面図。 従来のプロセスフローにより、アモルファスカーボンのハードマスクによってポリシリコンラインフィーチャを形成するための様々な製造ステージ中の半導体デバイスの概略的断面図。 従来のプロセスフローにより、アモルファスカーボンのハードマスクによってポリシリコンラインフィーチャを形成するための様々な製造ステージ中の半導体デバイスの概略的断面図。 本発明の例示的実施形態により、低温で形成された二酸化シリコンのキャップ層を用いて、カーボンハードマスクによってポリシリコン層をパターニングするための様々な製造ステージにおける半導体構造の概略的断面図。 本発明の例示的実施形態により、低温で形成された二酸化シリコンのキャップ層を用いて、カーボンハードマスクによってポリシリコン層をパターニングするための様々な製造ステージにおける半導体構造の概略的断面図。 本発明の例示的実施形態により、低温で形成された二酸化シリコンのキャップ層を用いて、カーボンハードマスクによってポリシリコン層をパターニングするための様々な製造ステージにおける半導体構造の概略的断面図。 本発明の例示的実施形態により、低温で形成された二酸化シリコンのキャップ層を用いて、カーボンハードマスクによってポリシリコン層をパターニングするための様々な製造ステージにおける半導体構造の概略的断面図。 本発明の例示的実施形態により、低温で形成された二酸化シリコンのキャップ層を用いて、カーボンハードマスクによってポリシリコン層をパターニングするための様々な製造ステージにおける半導体構造の概略的断面図。

Claims (6)

  1. アモルファスカーボン層との組み合わせにより反射防止膜として働く二酸化シリコンキャップ層を形成する方法であって、
    基板(201)上にアモルファスカーボン層(205)を形成するステップと、
    5〜50ナノメータの範囲の厚さを有する前記キャップ層(206)を形成するために、370℃以下の温度で前記アモルファスカーボン層(205)上に、プラズマ雰囲気(220)のTEOSから二酸化シリコン(206)を堆積するステップと、
    前記プラズマ雰囲気の圧力を4.5〜6.5Torrの範囲に調節して堆積速度を制御するステップと、
    前記TEOSの供給を毎分600mg以下に調節するステップと、を含む方法。
  2. 前記二酸化シリコンは、330℃以下の温度で堆積される、請求項1に記載の方法。
  3. 前記二酸化シリコンは、280℃〜320℃の範囲の温度で堆積される、請求項1に記載の方法。
  4. 前記二酸化シリコンは、約300℃の温度で堆積される、請求項1に記載の方法。
  5. 前記TEOSの供給を毎分550mg〜450mgの範囲に調節する、請求項に記載の方法。
  6. 前記TEOSの供給を毎分約500mgに調節する、請求項記載の方法。
JP2006524637A 2003-08-29 2004-07-06 低温かつ低堆積レートでteosキャップ層を形成する方法 Expired - Fee Related JP4782010B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
DE10339988A DE10339988B4 (de) 2003-08-29 2003-08-29 Verfahren zur Herstellung einer antireflektierenden Schicht
DE10339988.7 2003-08-29
US10/835,411 US7807233B2 (en) 2003-08-29 2004-04-29 Method of forming a TEOS cap layer at low temperature and reduced deposition rate
US10/835,411 2004-04-29
PCT/US2004/021598 WO2005024922A1 (en) 2003-08-29 2004-07-06 A method of forming a teos cap layer at low temperature and reduced deposition rate

Publications (2)

Publication Number Publication Date
JP2007521660A JP2007521660A (ja) 2007-08-02
JP4782010B2 true JP4782010B2 (ja) 2011-09-28

Family

ID=34202237

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006524637A Expired - Fee Related JP4782010B2 (ja) 2003-08-29 2004-07-06 低温かつ低堆積レートでteosキャップ層を形成する方法

Country Status (5)

Country Link
US (1) US7807233B2 (ja)
JP (1) JP4782010B2 (ja)
CN (1) CN100449689C (ja)
DE (1) DE10339988B4 (ja)
TW (1) TW200509258A (ja)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7371695B2 (en) * 2006-01-04 2008-05-13 Promos Technologies Pte. Ltd. Use of TEOS oxides in integrated circuit fabrication processes
US20070231746A1 (en) * 2006-03-29 2007-10-04 Iordanoglou Dimitrios I Treating carbon containing layers in patterning stacks
US7787685B2 (en) * 2006-04-17 2010-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Extracting ordinary and extraordinary optical characteristics for critical dimension measurement of anisotropic materials
KR100780652B1 (ko) * 2006-12-27 2007-11-30 주식회사 하이닉스반도체 반도체 소자 제조방법
US7858514B2 (en) 2007-06-29 2010-12-28 Qimonda Ag Integrated circuit, intermediate structure and a method of fabricating a semiconductor structure
JP5387451B2 (ja) * 2010-03-04 2014-01-15 信越半導体株式会社 Soiウェーハの設計方法及び製造方法
JP5387450B2 (ja) * 2010-03-04 2014-01-15 信越半導体株式会社 Soiウェーハの設計方法及び製造方法
US8907385B2 (en) * 2012-12-27 2014-12-09 Taiwan Semiconductor Manufacturing Company, Ltd. Surface treatment for BSI image sensors
KR20150064330A (ko) * 2013-12-03 2015-06-11 삼성전자주식회사 반도체 장치 및 이의 제조 방법
CN105063576A (zh) * 2015-08-24 2015-11-18 沈阳拓荆科技有限公司 一种采用teos源的低温镀膜方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07201716A (ja) * 1993-12-29 1995-08-04 Sony Corp 半導体装置の製造方法および半導体装置
JPH08148569A (ja) * 1994-11-24 1996-06-07 Kawasaki Steel Corp 半導体装置
JPH1092740A (ja) * 1996-05-24 1998-04-10 Internatl Business Mach Corp <Ibm> 半導体装置の製造方法
JP2002026334A (ja) * 2000-07-12 2002-01-25 Matsushita Electric Ind Co Ltd 薄膜トランジスタ、液晶表示装置およびエレクトロルミネッセンス表示装置
WO2005013320A2 (en) * 2003-07-28 2005-02-10 Freescale Semiconductor, Inc. A semiconductor device having an organic anti-reflective coating (arc) and method therefor

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US86509A (en) * 1869-02-02 Improvement in potato-digger
US45655A (en) * 1864-12-27 William h
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
EP0517627A1 (en) 1991-06-07 1992-12-09 Eastman Kodak Company Deposited carbon mask for dry etch processing of Si
KR950007478B1 (ko) 1992-06-17 1995-07-11 금성일렉트론주식회사 메탈 마스크 공정시 광반사 감소방법
US5462898A (en) * 1994-05-25 1995-10-31 Georgia Tech Research Corporation Methods for passivating silicon devices at low temperature to achieve low interface state density and low recombination velocity while preserving carrier lifetime
US5681425A (en) * 1995-12-29 1997-10-28 Industrial Technology Research Institute Teos plasma protection technology
US6310300B1 (en) * 1996-11-08 2001-10-30 International Business Machines Corporation Fluorine-free barrier layer between conductor and insulator for degradation prevention
US6028014A (en) * 1997-11-10 2000-02-22 Lsi Logic Corporation Plasma-enhanced oxide process optimization and material and apparatus therefor
US6057226A (en) 1997-11-25 2000-05-02 Intel Corporation Air gap based low dielectric constant interconnect structure and method of making same
JP3177968B2 (ja) * 1998-12-04 2001-06-18 日本電気株式会社 半導体装置及びその製造方法
US6673126B2 (en) * 1998-05-14 2004-01-06 Seiko Epson Corporation Multiple chamber fabrication equipment for thin film transistors in a display or electronic device
KR100343148B1 (ko) * 2000-11-10 2002-07-06 윤종용 반도체 소자의 콘택패드 형성방법
US6406975B1 (en) * 2000-11-27 2002-06-18 Chartered Semiconductor Manufacturing Inc. Method for fabricating an air gap shallow trench isolation (STI) structure

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07201716A (ja) * 1993-12-29 1995-08-04 Sony Corp 半導体装置の製造方法および半導体装置
JPH08148569A (ja) * 1994-11-24 1996-06-07 Kawasaki Steel Corp 半導体装置
JPH1092740A (ja) * 1996-05-24 1998-04-10 Internatl Business Mach Corp <Ibm> 半導体装置の製造方法
JP2002026334A (ja) * 2000-07-12 2002-01-25 Matsushita Electric Ind Co Ltd 薄膜トランジスタ、液晶表示装置およびエレクトロルミネッセンス表示装置
WO2005013320A2 (en) * 2003-07-28 2005-02-10 Freescale Semiconductor, Inc. A semiconductor device having an organic anti-reflective coating (arc) and method therefor

Also Published As

Publication number Publication date
CN100449689C (zh) 2009-01-07
US20050048222A1 (en) 2005-03-03
DE10339988A1 (de) 2005-03-31
DE10339988B4 (de) 2008-06-12
TW200509258A (en) 2005-03-01
CN1846297A (zh) 2006-10-11
US7807233B2 (en) 2010-10-05
JP2007521660A (ja) 2007-08-02

Similar Documents

Publication Publication Date Title
KR100188508B1 (ko) 비정질탄소막을 사용하는 패턴형성방법과 에칭방법 및 비정질탄소막 형성방법
US7718539B2 (en) Method for photomask fabrication utilizing a carbon hard mask
US8465903B2 (en) Radiation patternable CVD film
US7332262B2 (en) Photolithography scheme using a silicon containing resist
US8202805B2 (en) Substrate processing method
US20080293248A1 (en) Method of forming amorphous carbon film and method of manufacturing semiconductor device using the same
US6451512B1 (en) UV-enhanced silylation process to increase etch resistance of ultra thin resists
US20040092098A1 (en) Use of amorphous carbon as a removable ARC material for dual damascene fabrication
US7846843B2 (en) Method for manufacturing a semiconductor device using a spacer as an etch mask for forming a fine pattern
TWI326467B (en) Method for quartz photomask plasma etching
TWI554848B (zh) 為半導體裝置形成薄膜圖案的方法及其設備
JPS61280621A (ja) 光化学的パタ−ン化方式
JP4782010B2 (ja) 低温かつ低堆積レートでteosキャップ層を形成する方法
JP2002134379A (ja) パターン形成方法
US20040185674A1 (en) Nitrogen-free hard mask over low K dielectric
KR101152367B1 (ko) 저온 및 감소된 증착 속도로 teos 캡 층을 형성하는방법
US20060024971A1 (en) Dry etching method using polymer mask selectively formed by CO gas
US7465672B2 (en) Method of forming etching mask
JPH11135482A (ja) 半導体装置の製造方法およびドライエッチング装置の反応室環境制御方法
JPH06342744A (ja) a−Cによる反射防止
US20130071955A1 (en) Plasma etching method
WO2010110878A1 (en) Plasma etching method
TW569342B (en) Method for forming a pattern in a semiconductor substrate
US20080099427A1 (en) Fabrication method of electronic device
US7105279B2 (en) Method for fabricating a patterned layer on a semiconductor substrate

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070704

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20100421

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100707

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100714

RD05 Notification of revocation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7425

Effective date: 20100902

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20101014

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20101026

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20101112

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110216

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110516

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110608

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110706

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140715

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees