JP4776773B2 - Method for manufacturing semiconductor device - Google Patents

Method for manufacturing semiconductor device Download PDF

Info

Publication number
JP4776773B2
JP4776773B2 JP2000370961A JP2000370961A JP4776773B2 JP 4776773 B2 JP4776773 B2 JP 4776773B2 JP 2000370961 A JP2000370961 A JP 2000370961A JP 2000370961 A JP2000370961 A JP 2000370961A JP 4776773 B2 JP4776773 B2 JP 4776773B2
Authority
JP
Japan
Prior art keywords
film
island
insulating film
shaped
semiconductor film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2000370961A
Other languages
Japanese (ja)
Other versions
JP2001230420A (en
JP2001230420A5 (en
Inventor
律子 河崎
健司 笠原
久 大谷
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Energy Laboratory Co Ltd
Original Assignee
Semiconductor Energy Laboratory Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Energy Laboratory Co Ltd filed Critical Semiconductor Energy Laboratory Co Ltd
Priority to JP2000370961A priority Critical patent/JP4776773B2/en
Publication of JP2001230420A publication Critical patent/JP2001230420A/en
Publication of JP2001230420A5 publication Critical patent/JP2001230420A5/ja
Application granted granted Critical
Publication of JP4776773B2 publication Critical patent/JP4776773B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Landscapes

  • Liquid Crystal (AREA)
  • Thin Film Transistor (AREA)
  • Recrystallisation Techniques (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、絶縁表面を有する基板上に形成する結晶構造を有する半導体膜の作製方法、ならびに、この半導体膜を活性層に用いた半導体装置の作製方法に関する。特に、結晶質半導体膜を活性層に用いた半導体装置および半導体装置を表示部に用いた電子装置に関する。
【0002】
【従来技術】
近年、低温ポリシリコンの移動度向上、Ioff低減のために、チャネル形成領域を欠陥の少ない単結晶にしようとする動きがある。そこで、絶縁表面を有する透光性基板上に非晶質半導体膜を形成し、レーザーアニール法や熱アニール法などで結晶化させた結晶質半導体膜を薄膜トランジスタ(Thin Film Transistor:以下、TFTと記す)の活性層に用いる技術が開発されている。
【0003】
レーザーアニール法はガラス基板の温度をあまり上昇させず、非晶質半導体膜にのみ高いエネルギーを与えて結晶化させることができる結晶化技術として知られている。特に、波長400nm以下の短波長光を発振するエキシマレーザーは、このレーザーアニール法の開発当初から用いられてきた代表的なレーザーである。近年ではその他に固体レーザーのYAGレーザーを用いる技術も開発されている。これらのレーザーアニール法は、レーザービームを被照射面においてスポット状や線状となるように光学系で加工し、その加工されたレーザー光で基板上の被照射面を走査すること(レーザー光の照射位置を被照射面に対して相対的に移動させる)により行う。例えば、線状レーザー光を用いたエキシマレーザーアニール法は、その長手方向と直角な方向だけの走査で被照射面全体をレーザーアニールすることも可能であり、生産性に優れることからTFTを用いる液晶表示装置の製造技術として主流となりつつある。その技術は一枚のガラス基板上に画素部を形成するTFT(画素TFT)と、画素部の周辺に設けられる駆動回路のTFTを形成したモノシリック型の液晶表示装置を可能とした。
【0004】
しかし、レーザーアニール法によって作製される結晶質半導体膜は複数の結晶粒が集合したものであり、その結晶粒の位置と大きさはランダムなものであった。ガラス基板上に作製されるTFTは、素子分離のために結晶質半導体膜を島状のパターンに分離して形成しており、結晶粒の位置や大きさを指定して形成することはできなかった。結晶粒の界面(結晶粒界)には、非晶質構造や結晶欠陥などに起因する再結合中心や捕獲中心や結晶粒界におけるポテンシャル準位の影響により、キャリアの電流輸送特性が低下させる原因があることが知られている。
【0005】
チャネル形成領域の半導体膜の結晶性は、TFTの特性に重大な影響を及ぼすが、結晶粒界の影響を排除して単結晶の半導体膜でチャネル形成領域を形成することはほとんど不可能であった。
【0006】
このような問題点を解決するために、結晶粒を大きく成長させる試みがなされている。例えば、「"High-Mobility Poly-Si Thin-Film Transistors Fabricated by a Novel Excimer Laser Crystallization Method", K.Shimizu, O.Sugiura and M.Matumura, IEEE Transactions on Electron Devices vol.40, No.1, pp112-117,1993」には、基板上にSi/SiO2/Siの3層構造の膜を形成し、エキシマレーザー光をその膜側と基板側の両側から照射するレーザーアニール法についての報告がある。その方法によれば、ある所定のエネルギー強度でレーザー光を照射することにより結晶粒の大粒形化を図ることができることが示されている。
【0007】
【発明が解決しようとする課題】
上記K.Shimizuらの方法は、非晶質シリコン膜の下地材料の熱特性を局所的に変化させて、基板への熱の流れを制御して温度勾配を持たせることを特徴としている。しかしながら、そのためにガラス基板上に高融点金属層/酸化シリコン層/半導体膜の3層構造を形成している。この半導体膜を活性層としてトップゲート型のTFTを形成することは構造的には可能であるが、半導体膜と高融点金属層との間に設けられた酸化シリコン膜により寄生容量が発生するので、消費電力が増加し、TFTの高速動作を実現することは困難となる。
【0008】
レーザーに位相差を作る方法やステップ照射方法は、レーザー装置が複雑化するという問題がある。また、駆動回路を内蔵した液晶パネルの駆動素子の結晶化に用いようとした場合、通常素子は一定間隔ではなく様々に配置されるため、チャネル形成領域の全てを確実に大粒径化・単結晶化できない可能性があった。
【0009】
デュアルビーム法(基板の両側からレーザーを照射、または、基板片側からレーザー照射し基板を透過したレーザーを鏡などで反射させて基板の両面からレーザー照射して非晶質半導体膜を結晶化させる方法。)と3層アイランド構造を組み合わせる方法は、駆動回路を内蔵した液晶パネルの駆動素子の結晶化に用いようとした場合、場所指定単結晶化は可能であるが、5μm以上の粒径を作るのは困難で、チャネル幅の大きな薄膜トランジスタにはむいていない。また、メタル−Si間で寄生容量が発生し、信号遅延を引き起こす。さらに、メタル材料によっては、照射時に高温となるためピーリングが発生するという問題があった。
【0010】
さらに、高熱伝導度絶縁膜を下地に利用する方法は、メタル−Si間で寄生容量が発生しないメリットはあるものの、安定した高熱伝導度絶縁膜の開発が必要であった。
【0011】
本発明はこのような問題点を解決するための技術であり、結晶粒の位置とその大きさを制御した結晶質半導体膜を作製し、さらにその結晶質半導体膜をTFTのチャネル形成領域に用いることにより高速動作が可能なTFTを実現する。さらに、そのようなTFTを透過型の液晶表示装置やエレクトロルミネッセンス材料を用いた表示装置などのさまざまな半導体装置に適用できる技術を提供することを目的とする。
【0012】
【課題を解決するための手段】
ガラスなどの基板上にメタルや高熱伝導度絶縁膜を用いずに、従来の絶縁膜のみを使用して段差を形成して温度勾配を作り、この温度勾配を利用し、非晶質半導体層を結晶化する方法にレーザーアニール法を用いる。本発明のレーザーアニール法は、パルス発振型または連続発振型のエキシマレーザー、YAGレーザー、またはアルゴンレーザーをその光源とし、光学系にて線状または矩形状に形成されたレーザー光を島状半導体層に対して、島状半導体層が形成された基板の表面(本明細書中では島状半導体層が形成されている面と定義する)から、または表面と裏面(本明細書中では島状半導体層が形成された面と反対側の面と定義する)との両方から照射する。
【0013】
本発明により、下地絶縁膜を島状にパターニングして、この島状絶縁膜による段差を温度勾配発生に利用して行った結晶化の熱解析シミュレーションを行ったところ、図5(B)のような結果が得られた。なお、本明細書において、段差とは、図4で示したように下地絶縁膜に設けられた凸部、または島状の絶縁膜によって生じた半導体膜表面の凹凸の最高部(図1(C)における領域Aにあたる部分)と最低部(図1(C)における領域Bにあたる部分)との差の部分をいう。
【0014】
このような結果が得られる理由として、温度勾配の発生が考えられる。図5(A)のB領域は、熱の逃げる場所として▲1▼直下の下地絶縁膜▲2▼横に存在する下地絶縁膜の両方があるため、他の場所と比較して早く冷める。逆に、C領域は、B領域から逃げてくる熱があるため温度が下がりにくくなっている。したがって、B領域とC領域とでは温度勾配が生じる。
【0015】
次に、半導体膜がレーザー光照射により完全に溶融して結晶化する様子の説明をする。まず、上述したような理由により最初に温度の下がるB領域から固相化が始まり、結晶の核が発生する。この核が結晶成長の中心となり、温度が高く溶融状態のC領域またはA領域に向かって結晶成長が進行する。
半導体膜が、レーザー光照射により完全に溶融せず固相が残った場合にも、この固相(微少固相)が結晶成長の中心となりそこから温度勾配を利用して結晶成長が進行し、このため粒径の大きな結晶が場所を制御して形成することができる。
【0016】
このようなことから、所望の位置に下地酸化膜を蓄熱層や熱容量勾配として使用したり、そのために高熱伝導度を基板上に設けたりしなくても従来のガラス基板上に作製されたTFTで使われている半導体膜/下地絶縁膜/基板で、下地絶縁膜を所望の形状にパターニングして段差を設けることで、この段差形状に対応して発生する半導体膜内部の温度分布を利用し、ラテラル成長の発生場所、方向を制御できる。
【0017】
【発明の実施の形態】
[実施形態1]
本発明の実施形態を図1と図2を用いて説明する。図1(A)において、基板101にはバリウムホウケイ酸ガラスやアルミノホウケイ酸ガラスなどの無アルカリガラス基板を用いる。例えば、コーニング社の7059ガラスや1737ガラスなどを好適に用いることができる。
【0018】
この基板101のTFTを形成する表面に、透光性でかつ絶縁性を有する絶縁膜を形成し、パターニングして島状絶縁膜102を得る。この島状絶縁膜は熱伝導性の優れる材料で形成しても良い。その場合、熱伝導率は10Wm-1K-1以上であることが望ましい。例えば、アルミニウムの酸化物(酸化アルミニウム(Al23)は可視光において透光性を有し、熱伝導率が20Wm-1K-1であり適している。また、酸化アルミニウムは化学量論比に限定されるものでなく、熱伝導率特性と内部応力などの特性を制御するために、他の元素を添加しても良い。例えば、酸化アルミニウムに窒素を含ませて、酸化窒化アルミニウム(AlNx1-x:0.02≦x≦0.5)を用いても良いし、アルミニウムの窒化物(AlNx)を用いることも可能である。また、シリコン(Si)、酸素(O)、窒素(N)とM(Mはアルミニウム(Al)または希土類元素から選ばれた少なくとも一種)を含む化合物を用いることができる。例えば、AlSiONやLaSiONなどを好適に用いることができる。その他に、窒化ホウ素なども適用することができる。
【0019】
上記の酸化物、窒化物、および化合物はいずれもスパッタ法やプラズマCVD法で形成することができる。スパッタ法の場合には所望の組成のターゲットを用い、アルゴン(Ar)や窒素などの不活性ガスを用いてスパッタすることにより形成できる。また、熱伝導度が1000Wm-1K-1に達する薄膜ダイヤモンド層やDLC(Diamond Like Carbon)層を設けても良い。いずれにしても、このような材料で厚さ50〜500nm(好ましくは200nm)の絶縁膜102を形成することで、レーザー光の照射による温度上昇を抑えることができる。また、絶縁膜102の端面における側壁の角度は、ガラス基板101の主表面に対して、5°以上50°未満となるようにテーパー状にエッチングしてこの上に積層させる膜のステップカバレージを確保する。
【0020】
この上に下地絶縁膜103を酸化シリコン膜、窒化シリコン膜、酸化窒化シリコン膜などで形成する。酸化窒化シリコン膜は、プラズマCVD法でSiH4、N2Oを原料ガスとして作製する。この原料ガスにO2を添加しても良い。作製条件は限定されないが、この下地絶縁膜としての酸化窒化シリコン膜は膜厚を50〜500nmとし、含有酸素濃度を55atomic%以上70atomic%未満とし、かつ、含有窒素濃度を1atomic%以上20atomic%未満となるようにする。このような組成として酸化窒化シリコン膜の内部応力が低減すると共に固定電荷密度を減少させておく。
【0021】
図1(B)に示す島状半導体膜104は、25〜2000nm(好ましくは30〜100nm)の厚さに形成する。これは非晶質構造を有する半導体膜をプラズマCVD法やスパッタ法などの公知の方法で形成し、その後エッチング処理により不要な部分を除去して形成する。図1(C)はその上面図であり、島状半導体膜は矩形または短冊型のパターンに形成された島状の下地絶縁膜の上方に形成され、島状の下地絶縁膜と垂直に交差し、島状半導体膜の端部と下地絶縁膜の短辺の端部は重ならないように配置した。島状半導体膜を形成するための非晶質構造を有する半導体膜としては、非晶質半導体膜や微結晶半導体膜があり、非晶質シリコンゲルマニウム膜などの非晶質構造を有する化合物半導体膜を適用しても良い。
【0022】
図2において、本発明のレーザーアニール法による結晶化の工程を説明する。結晶化の工程ではまず、非晶質半導体膜が含有する水素を放出させておくことが望ましく、400〜500℃で1時間程度の熱処理を行い含有する水素量を5atomic%以下にしておくと良い。
【0023】
結晶化をレーザーアニール法にて行う場合には、パルス発振型または連続発振型のエキシマレーザーやYAGレーザー、またはアルゴンレーザーをその光源とする。
【0024】
図2(A)では、レーザー光110が島状半導体膜に照射される様子を示している。島状半導体膜104は島状絶縁膜102に沿って形成されており、領域A105は、島状絶縁膜102による段差領域、106はその外側の領域Bである。いずれにしても島状半導体膜はレーザー光の照射により加熱され一旦溶融状態となる。結晶核は溶融状態から固相状態へ移る冷却過程で生成されるものと推定されているが、その核発生密度は、溶融状態の温度と冷却速度とに相関があり、高温から急冷されると核発生密度が高くなる傾向が経験的知見として得られている。
【0025】
図1(A)の構造でみると、島状絶縁膜102が形成されている領域は体積が増し熱容量が増えるのでレーザー光の照射による温度上昇は抑制される。デュアルビームレーザーアニール法を用いた場合は、島状半導体膜104の基板側の面とその反対側の面とからレーザー光が照射され、両面から加熱されるので冷却速度が従来のシングルビームのレーザーアニール法に比べ相対的に遅くなる。その結果、段差内部での温度勾配によるラテラル成長が抑制され、結晶核は島状絶縁膜102と重なる島状半導体膜の領域を中心にして放射状のラテラル成長が得られる。
【0026】
その結果、島状絶縁膜102の周辺に粒形の大きな結晶が成長し、105で示す島状絶縁膜102に沿って形成された領域Aにおいて大粒形の結晶が得られ、106で示す領域Bでは比較的小さな結晶粒となる。図2(B)はその様子を示す上面図である。TFTを作製したとき、この段差領域がチャネル形成領域の幅となる。また、このような効果は、照射するパルスレーザー光の繰り返しパルス数を増していくと顕著に現れる。
【0027】
その後島状半導体膜は、3〜100%の水素を含む雰囲気中で300〜450℃の加熱処理、或いは、プラズマによって生成された水素を含む雰囲気中で200〜450℃の加熱処理によって、残留する欠陥を中和することができる。このようにして作製された島状半導体膜104の領域A105をチャネル形成領域としてTFTの活性層を作製することにより、TFTの特性を向上させることができる。
【0028】
[実施形態2]
TFTの活性層とする結晶構造を有する島状半導体膜の作製方法は、レーザーアニール法のみから作製されるものではなく、本発明に関わるレーザーアニール法と熱アニール法を併用させても良い。特に、熱アニール法による結晶化は、特開平7−130652号公報で開示される触媒元素を用いる結晶化法にも応用すると、600℃以下の温度で結晶化を実現でき、このようにして作製された結晶質半導体膜を本発明に関わるレーザーアニール法で処理すると高品質の結晶質半導体膜を得ることができる。このような実施形態について図3を用いて説明する。
【0029】
図3(A)において、基板150には実施形態1で示したガラス基板を好適に用いることができる。その他、島状絶縁膜151と、下地絶縁膜152、非晶質半導体膜153は実施形態1と同様にして作製する。そして、重量換算で5〜100ppmの触媒元素を含む水溶液をスピンコート法で塗布して触媒元素を含有する層154を形成する。或いは、触媒元素を含有する層154をスパッタ法や蒸着法などで形成しても良い。その場合、触媒元素を含有する層154の厚さは0.5〜2nmとする。触媒元素にはニッケル(Ni)、ゲルマニウム(Ge)、鉄(Fe)、パラジウム(Pd)、スズ(Sn)、鉛(Pb)、コバルト(Co)、白金(Pt)、銅(Cu)、金(Au)などである。
【0030】
その後、まず400〜500℃で1時間程度の熱処理を行い、非晶質半導体膜の含有水素量を5atomic%以下にする。そして、ファーネスアニール炉を用い、窒素雰囲気中で550〜600℃で1〜8時間、好ましくは550℃で4時間の熱アニールを行う。以上の工程により結晶質シリコン膜から成る結晶質半導体膜155を得ることができる(図3(B))。
【0031】
図3(C)に示すように結晶質半導体膜155から、島状半導体膜160を形成する。この状態の基板に対して、図3(C)に示すようにしてシングルビームレーザーアニールを施す。(デュアルビームレーザーアニールでもよい。デュアルビーム法を用いる場合には、透光性を有する基板を用いればよい。)その結果、レーザー光156により一旦溶融状態を経て新たに結晶構造を有する島状半導体膜160が形成される。このようにして作製された島状半導体膜160は、図2で説明した島状半導体膜107と比較して、同等かそれ以上の粒径の結晶粒を領域Aの中心に作製することができる。しかしながら、島状半導体膜560中には触媒元素が1×1017〜1×1019atoms/cm3程度の濃度で含まれている。
【0032】
そこで、特開平10―135468号公報または特開平10―135469号公報に記載された技術を用いることで、島状半導体膜中の触媒元素濃度を1×1017atoms/cm3以下、好ましくは1×1016atoms/cm3以下にまで低減することができる。
【0033】
島状半導体膜に対してゲッタリング作用を有する周期表の15族に属する不純物元素、代表的にはリンを選択的に添加し、窒素雰囲気中で550〜800℃、5〜24時間の熱処理を行えば、島状半導体膜中に残存していた触媒元素をリンの添加された領域に移動させることができる。このあと、触媒元素が移動したリンの添加された領域をエッチングして除去することにより、触媒元素濃度が1×1017atoms/cm3以下にまで低減された島状半導体膜を得ることができる。
【0034】
[実施形態3]
実施形態1と同様にして、基板に絶縁膜を形成する。その後、この絶縁膜をパターニングして島状絶縁膜を形成するが、この島状絶縁膜の形状として様々なものを採用することができる。本実施形態では、その例を図6に示す。図6は、いずれも上面から見た図であり、斜線部は、下地絶縁膜によって形成された段差領域である。
【0035】
図6(A)は、段差領域の内側でラテラル成長させることを目的に、絶縁膜を幅(チャネル形成領域に相当する部分)が2〜5μmの矩形になるようパターニングしたものである。
図6(A)では、半導体膜に交差するように設けた島状の絶縁膜により発生する温度勾配を利用したチャネル長方向へのラテラル成長に加えて、半導体膜を島状に形成することで、その縁で発生する温度勾配を利用したチャネル幅方向へのラテラル成長とを組み合わせている。この結果、任意の場所での大粒径化が可能になった。
島状の絶縁膜を幅5μmの矩形に形成し結晶化させた時の結晶化状態をSEMで観察した結果を図7に示す。島状の絶縁膜による段差の縁部および島状半導体膜の縁から結晶成長が進行していることがわかる。
【0036】
また、図6(B)のように矩形の下地絶縁膜の内側に開孔部を形成すると、この開口部から外側に向かう結晶成長も発生させることができ、より良好な結晶化が可能になると考えられる。
【0037】
図6(C)は、段差を結晶粒成長の中心にして放射状のラテラル成長を得ようとするもので、絶縁膜を直径1.0〜2.0μmの円形にパターニングしたものである。直径を1μm程度にすると、段差内部での温度勾配によるラテラル成長を抑制することができる。
円柱の段差領域(直径設計値2μm)のものをSEMで観察した結果を図8に示す。円形の段差を中心にして放射状のラテラル成長が得られたことがわかる。また、図6(C)のように絶縁膜を円柱状にパターニングして結晶化を行ってTFTを作製すれば、図6(D)の様なTFTが作製される。
【0038】
図6(E)は、一対の島状絶縁膜による段差の内側縁部からのラテラル成長がそれぞれもう一方の島状絶縁膜に向かって進行する際、途中で衝突するのをさけるために短冊状の島状絶縁膜の一部が島状半導体膜と重なって互い違いになるように設ける例である。
【0039】
図6(F)で示すように、島状絶縁膜による段差を利用した温度分布はそのままで、冷却速度を延長して結晶成長を増長するために、段差の材料として熱伝導度の低い有機樹脂膜、例えばBCB(benzocyclobutene)等の膜を用いることも考えられる。
【0040】
図6(G)は、半導体膜の長辺の縁付近に半導体膜の縁からはみ出さないように、またはやや半導体膜にかかるように段差を形成している例である。一対の島状絶縁膜の間隔は実施者が適宜決定してよい。これにより、半導体膜の縁部分が通常よりも早く低温化するので、半導体膜内部との温度差が急峻となりラテラル成長が促進されるようになる。
【0041】
以上に示された島状絶縁膜のパターンは、実施形態1または実施形態2のいずれにも用いることができる。
【0042】
[実施例1]
本発明について図9〜図12を用いて説明する。ここでは、画素部のnチャネル型TFT(以下画素TFTと記す)および保持容量と、画素部の周辺に設けられる駆動回路のnチャネル型TFTとpチャネル型TFTとを同時に作製する方法について工程に従って説明する。
【0043】
図9において、基板201にはコーニング1737ガラスを用いた。この基板201のTFTを形成する表面に、下地絶縁膜を形成する。この膜は、酸化シリコン、窒化シリコン、酸化窒化シリコンなどで形成する。
【0044】
酸化シリコン膜を用いる場合には、プラズマCVD法で、オルトケイ酸テトラエチル(Tetraethyl Orthosilicate:TEOS)とO2とを混合し、反応圧力40Pa、基板温度300〜400℃とし、高周波(13.56MHz)電力密度0.5〜0.8W/cm2で放電させて形成することができる。酸化窒化シリコン膜を用いる場合には、プラズマCVD法でSiH4、N2O、NH3から作製される酸化窒化シリコン膜、またはSiH4、N2Oから作製される酸化窒化シリコン膜で形成すれば良い。この場合の作製条件は反応圧力20〜200Pa、基板温度300〜400℃とし、高周波(60MHz)電力密度0.1〜1.0W/cm2で形成することができる。また、SiH4、N2O、H2から作製される酸化窒化水素化シリコン膜を適用しても良い。窒化シリコン膜も同様にプラズマCVD法でSiH4、NH3から作製することが可能である。
【0045】
上記に代表される下地絶縁膜を20〜200nm(好ましくは30〜60nm)の厚さに基板201上の全面に形成しておき、その後フォトリソグラフィーの技術を用いレジストマスクを形成し、不要な部分をエッチングし、絶縁膜を矩形にパターニングして、島状絶縁膜202〜206を形成する。上記絶縁膜に対してはフッ素系のガスを用いたドライエッチング法を用いても良いし、フッ素系の水溶液を用いたウエットエッチング法を用いても良い。後者の方法を選択する場合には、例えば、フッ化水素アンモニウム(NH4HF2)を7.13%とフッ化アンモニウム(NH4F)を15.4%含む混合溶液(ステラケミファ社製、商品名LAL500)でエッチングすると良い。
【0046】
島状絶縁膜202〜206のパターン寸法は実施者が適宣決定するものであるが、実際には作製するTFTのサイズ(チャネル長、チャネル幅)を考慮して決めれば良い。本実施例では実施形態3図6(A)にあるように、幅5μmの島状絶縁膜を形成したが、島状絶縁膜は実施形態3で示したような様々な形状を採用することが可能である。
【0047】
次いで、島状絶縁膜を覆う下地絶縁膜207を形成する。この膜は島状絶縁膜と同様に酸化シリコン膜、窒化シリコン膜、酸化窒化シリコン膜などで50〜300nm(好ましくは100〜200nm)の厚さに形成する。
【0048】
次に、25〜2000nm(好ましくは30〜100nm)の厚さで非晶質構造を有する半導体膜208を、プラズマCVD法やスパッタ法などの公知の方法で形成する。本実施例では、プラズマCVD法で非晶質シリコン膜を55nmの厚さに形成する。非晶質構造を有する半導体膜としては、非晶質半導体膜や微結晶半導体膜があり、非晶質シリコンゲルマニウム膜などの非晶質構造を有する化合物半導体膜を適用しても良い。また、下地絶縁膜207と非晶質シリコン膜208とはプラズマCVD法で形成することが可能であるので、両者を減圧雰囲気下にて連続形成しても良い。下地絶縁膜207を形成した後、大気雰囲気に晒さないことでその表面の汚染を防ぐことが可能となり、作製するTFTの特性バラツキやしきい値電圧の変動を低減させることができる。
【0049】
そして、図9(B)に示すように非晶質半導体膜208の不要な部分をエッチング除去して島状半導体膜209〜212を形成する。島状半導体膜の形状やサイズは実施者が適宣決めれば良い。
【0050】
島状半導体膜209〜212の結晶化はシングルビームレーザーアニール法で行う。これは実施形態1、実施形態2に示す方法のいずれを適用しても良い。例えば、XeClエキシマレーザー(波長308nm)をレーザー光発生装置として、光学系で線状ビームを形成して、発振周波数5〜50Hz、エネルギー密度100〜500mJ/cm2として線状ビームのオーバーラップ割合を80〜98%として照射する。このようにして島状半導体膜209〜212を結晶化させることができた。
【0051】
その後、プラズマCVD法や減圧CVD法、またはスパッタ法により50〜100nmの厚さの酸化シリコン膜によるマスク層213を形成する。例えば、減圧CVD法でSiH4とO2との混合ガスを用い、266Paにおいて400℃に加熱して酸化シリコン膜を形成する(図9(C))。
【0052】
チャネルドープ工程は、フォトレジストマスク215を設け、nチャネル型TFTを形成する島状半導体膜209〜212の全面に1×1016〜5×1017atoms/cm3程度の濃度でp型を付与する不純物元素としてボロン(B)を添加する。ボロン(B)の添加はイオンドープ法で実施しても良いし、非晶質シリコン膜を成膜するときに同時に添加しておくこともできる。チャネルドープはしきい値電圧を制御する目的で実施するものであり、TFTを作製する上で必須の工程ではないが、nチャネル型TFTのしきい値電圧を所定の範囲内に収めるために形成することが好ましい(図9(D))。
【0053】
そして、駆動回路のnチャネル型TFTのLDD領域を形成するために、n型を付与する不純物元素を島状半導体膜210、211に選択的に添加する。あらかじめフォトレジストマスク215〜218を形成する。この工程ではリン(P)を添加すべく、フォスフィン(PH3)を用いたイオンドープ法を適用する。形成される不純物領域(n-)219、220、221のリン(P)濃度は5×1017〜5×1018atoms/cm3のとする(図10(A))。また、不純物領域221は、画素部の保持容量を形成するための半導体膜でありこの領域にも同じ濃度でリン(P)を添加して導電性を向上させておくと良い。
【0054】
次に、マスク層213をフッ酸などにより除去して、図9(D)と図10(A)で添加した不純物元素を活性化させる工程を行う。活性化は、窒素雰囲気中、500〜600℃で1〜4時間の熱アニールや、レーザーアニールの方法により行うことができる。また、両者を併用して行っても良い。本実施例では、レーザー活性化の方法を用い、KrFエキシマレーザー光(波長248nm)を用い、線状ビームを形成して、発振周波数5〜50Hz、エネルギー密度100〜500mJ/cm2として線状ビームのオーバーラップ割合を80〜98%として走査して、島状半導体膜が形成された基板全面を処理する。尚、レーザー光の照射条件には何ら限定される事項はなく実施者が適宣決定すれば良い。
【0055】
そして、ゲート絶縁膜222をプラズマCVD法またはスパッタ法を用いて40〜150nmの厚さでシリコンを含む絶縁膜で形成する。例えば、SiH4、N2O、O2を原料としてプラズマCVD法で作製される酸化窒化シリコン膜で形成する(図10(B))。
【0056】
次に、ゲート電極および容量配線を形成するための第1の導電層223、224を成膜する。この導電層は単層で形成しても良いが、必要に応じて二層あるいは三層といった積層構造とすることもできる。本実施形態では、導電性の窒化物金属膜から成る導電層(A)223と金属膜から成る導電層(B)224とを積層した構造とする。導電層(B)224はタンタル(Ta)、チタン(Ti)、モリブデン(Mo)、タングステン(W)から選ばれた元素、または前記元素を主成分とする合金か、前記元素を組み合わせた合金膜(代表的にはMo−W合金膜、Mo−Ta合金膜)で形成すれば良く、導電層(A)223は窒化タンタル(TaN)、窒化タングステン(WN)、窒化チタン(TiN)膜、窒化モリブデン(MoN)などで形成する。また、導電層(A)223はタングステンシリサイド、チタンシリサイド、モリブデンシリサイドを適用しても良い。導電層(B)224は低抵抗化を図るために、含有する不純物濃度を低減させると良く、特に酸素濃度に関しては30ppm以下とすると良い。例えば、タングステン(W)は酸素濃度を30ppm以下とすることで20μΩcm以下の比抵抗値を実現することができる。
【0057】
導電層(A)223は10〜50nm(好ましくは20〜30nm)とし、導電層(B)224は200〜400nm(好ましくは250〜350nm)とすれば良い。本実施例では、導電層(A)223に30nmの厚さのTaN膜を、導電層(B)224には350nmのTa膜を用い、いずれもスパッタ法で形成する。TaN膜はTaをターゲットとしてスパッタガスにArと窒素との混合ガスを用いて成膜する。TaはスパッタガスにArを用いる。また、これらのスパッタガス中に適量のXeやKrを加えておくと、形成する膜の内部応力を緩和して膜の剥離を防止することができる。α相のTa膜の抵抗率は20μΩcm程度でありゲート電極に使用することができるが、β相のTa膜の抵抗率は180μΩcm程度でありゲート電極とするには不向きである。TaN膜はα相に近い結晶構造を持つので、この上にTa膜を形成すればα相のTa膜が容易に得られる。尚、図示しないが、導電層(A)223の下に2〜20nm程度の厚さでリン(P)をドープしたシリコン膜を形成しておくことは有効である。これにより、その上に形成される導電膜の密着性向上と酸化防止を図ると同時に、導電層(A)223または導電層(B)224が微量に含有するアルカリ金属元素がゲート絶縁膜222に拡散するのを防ぐことができる。いずれにしても、導電層(B)224は抵抗率を10〜500μΩcmの範囲ですることが好ましい(図10(C))。
【0058】
次に,フォトレジストマスク225〜230を形成し、導電層(A)223と導電層(B)224とを一括でエッチングしてゲート電極231〜234および容量配線235を形成する。例えば、ドライエッチング法によりCF4とO2の混合ガス、またはCl2を用いて1〜20Paの反応圧力で行うことができる。ゲート電極231〜234および容量配線235は、導電層(A)223から成る231a〜235aと、導電層(B)224から成る231b〜235bとが一体として形成されている。この時、nチャネル型TFTに設けるゲート電極232、233は不純物領域219、220の一部と重なるように形成する(図10(D))。また、ゲート電極は導電層(B)224のみで形成することも可能である。
【0059】
次いで、駆動回路のpチャネル型TFTのソース領域およびドレイン領域を形成するために、p型を付与する不純物元素を添加する工程を行う。ここでは、ゲート電極231をマスクとして、自己整合的に不純物領域を形成する。nチャネル型TFTが形成される領域はフォトレジストマスク236で被覆しておく。そして、ジボラン(B26)を用いたイオンドープ法で不純物領域(p+)237を1×1021atoms/cm3の濃度で形成する(図11(A))。
【0060】
次に、nチャネル型TFTにおいて、ソース領域またはドレイン領域として機能する不純物領域の形成を行う。レジストマスク238〜241を形成し、n型を付与する不純物元素を添加して不純物領域242〜246を形成する。これは、フォスフィン(PH3)を用いたイオンドープ法で行い、不純物領域(n+)242〜246の(P)濃度を5×1020atoms/cm3とする(図11(B))。不純物領域242には、既に前工程で添加されたボロン(B)が含まれているが、それに比して1/2〜1/3の濃度でリン(P)が添加されるだけなので、添加されたリン(P)の影響は考えなくても良く、TFTの特性に何ら影響を与えることはない。
【0061】
そして、画素部のnチャネル型TFTのLDD領域を形成するために、n型を付与する不純物添加の工程を行う。ここではゲート電極234をマスクとして自己整合的にn型を付与する不純物元素をイオンドープ法で添加する。添加するリン(P)の濃度は5×1016atoms/cm3とし、図10(A)および図11(A)と図11(B)で添加する不純物元素の濃度よりも低濃度で添加することで、実質的には不純物領域(n--)247、248のみが形成される(図11(C))。
【0062】
その後、それぞれの濃度で添加されたn型またはp型を付与する不純物元素を活性化するために熱処理工程を行う。この工程はレーザーアニール法で行うことができる。ここではファーネスアニール法で活性化工程を行う。熱処理は酸素濃度が1ppm以下、好ましくは0.1ppm以下の窒素雰囲気中で400〜700℃、代表的には500〜600℃で行うものであり、本実施例では550℃で4時間の熱処理を行う。
【0063】
この熱アニールにおいて、ゲート電極231〜234と容量配線235を形成するTa膜231b〜235bは、表面から5〜80nmの厚さでTaNから成る導電層(C)231c〜235cが形成される。また、その他に導電層(B)231b〜235bがタングステン(W)の場合には窒化タングステン(WN)が形成され、チタン(Ti)の場合には窒化チタン(TiN)を形成することができる。また、窒素またはアンモニアなどを用いた窒素を含むプラズマ雰囲気にゲート電極231〜234を晒しても同様に形成することができる。さらに、3〜100%の水素を含む雰囲気中で、300〜450℃で1〜12時間の熱アニールを行い、島状半導体膜を水素化する工程を行う。この工程は熱的に励起された水素により島状半導体膜にある1016〜1018/cm3のダングリングボンドを終端する工程である。水素化の他の手段として、プラズマ水素化(プラズマにより励起された水素を用いる)を行っても良い。
【0064】
結晶化の工程においてシリコンの結晶化を助長する触媒元素を使用し、その後実施形態2で説明したゲッタリングの工程を行わなかった場合には島状半導体膜中には微量(1×1017〜1×1019atoms/cm3程度)の触媒元素が残留する。もちろん、そのような状態でもTFTを完成させることが可能であるが残留する触媒元素を少なくともチャネル形成領域から除去するほうがより好ましい。この触媒元素を除去する手段の一つにリン(P)によるゲッタリング作用を利用する手段がある。ゲッタリングに必要なリン(P)の濃度は図11(B)で形成した不純物領域(n+)と同程度であればよく、ここで実施される活性化工程の熱アニールにより、nチャネル型TFTおよびpチャネル型TFTのチャネル形成領域から触媒元素を不純物領域242〜246に偏析させることができる。その結果、不純物領域242〜246には1×1017〜1×1019atoms/cm3程度の触媒元素が偏析する(図11(D))。
【0065】
活性化および水素化の工程が終了したら、ゲート配線を形成する第2導電層を形成する。この第2導電層は低抵抗材料であるアルミニウム(Al)や銅(Cu)を主成分とする導電層(D)で形成する。いずれにしても、第2導電層の抵抗率は0.1〜10μΩcm程度とする。さらに、チタン(Ti)やタンタル(Ta)、タングステン(W)、モリブデン(Mo)から成る導電層(E)を積層形成すると良い。本実施例では、チタン(Ti)を0.1〜2重量%含むアルミニウム(Al)膜で導電層(D)249を形成し、チタン(Ti)膜を導電層(E)250として形成した。導電層(D)249は200〜400nm(好ましくは250〜350nm)とすれば良く、導電層(E)250は50〜200nm(好ましくは100〜150nm)で形成すれば良い(図12(A))。
【0066】
そして、ゲート電極に接続するゲート配線を形成するために導電層(E)250と導電層(D)249とをエッチング処理して、ゲート配線251、252と容量配線253を形成する。エッチング処理は最初にSiCl4とBCl3との混合ガスを用いたドライエッチング法で導電層(E)の表面から導電層(D)を除去することにより下地との選択加工性を保ってゲート配線を形成することができる(図12(B))。
【0067】
第1層間絶縁膜254は500〜1500nmの厚さで酸化シリコン膜または酸化窒化シリコン膜で形成する。本実施例では、SiH4を27SCCM、N2Oを900SCCM、として反応圧力160Pa、基板温度325℃で放電電力密度0.15W/cm2で形成した。その後、それぞれの島状半導体膜に形成されたソース領域またはドレイン領域に達するコンタクトホールを形成し、ソース配線255〜258と、ドレイン配線259〜262を形成する。図示していないが、本実施例ではこの電極を、Ti膜を100nm、Tiを含むアルミニウム膜300nm、Ti膜150nmをスパッタ法で連続して形成した3層構造の積層膜とする。
【0068】
次に、パッシベーション膜263として、窒化シリコン膜、酸化シリコン膜、または酸化窒化シリコン膜を50〜500nm(代表的には100〜300nm)の厚さで形成する。この状態で水素化処理を行うとTFTの特性向上に対して好ましい結果が得られる。例えば、3〜100%の水素を含む雰囲気中で、300〜450℃で1〜12時間の熱処理を行うと良く、あるいはプラズマ水素化法を用いても同様の効果が得られる。また、このような熱処理により第1の層間絶縁膜254に存在する水素を島状半導体膜209〜212に拡散させ水素化をすることもできる。いずれにしても、島状半導体膜209〜212の欠陥密度を1016/cm3以下とすることが望ましく、そのために水素を0.01〜0.1atomic%程度付与すれば良い(図12(C))。なお、ここで後に画素電極とドレイン配線を接続するためのコンタクトホールを形成する位置において、パッシベーション膜263に開口部を形成しておいても良い。
【0069】
その後、図13に示すように、有機樹脂からなる第2層間絶縁膜264を1.0〜1.5μmの厚さに形成する。有機樹脂としては、ポリイミド、アクリル、ポリアミド、ポリイミドアミド、BCB(ベンゾシクロブテン)等を使用することができる。ここでは、基板に塗布後、熱重合するタイプのポリイミドを用い、300℃で焼成して形成する。そして、第2層間絶縁膜264にドレイン配線262に達するコンタクトホールを形成し、画素電極265、266を形成する。画素電極は、透過型液晶表示装置とする場合には透明導電膜を用いれば良く、反射型の液晶表示装置とする場合には金属膜を用いれば良い。本実施例では透過型の液晶表示装置とするために、酸化インジウム・スズ(ITO)膜、酸化亜鉛(ZnO)膜、酸化インジウム・スズ・酸化亜鉛膜などから選ばれた透明導電膜を100nmの厚さにスパッタ法で形成する。
【0070】
こうして同一基板上に、駆動回路のTFTと画素部の画素TFTとを有した基板を完成させることができた。駆動回路にはpチャネル型TFT301、第1のnチャネル型TFT302、第2のnチャネル型TFT303、画素部には画素TFT304、保持容量305が形成した。本明細書では便宜上このような基板をアクティブマトリクス基板と呼ぶ。
【0071】
駆動回路のpチャネル型TFT301には、島状半導体膜209にチャネル形成領域306、ソース領域307a、307b、ドレイン領域308a,308bを有している。第1のnチャネル型TFT302には、島状半導体膜210にチャネル形成領域309、ゲート電極233と重なるLDD領域(Lov)310、ソース領域311、ドレイン領域312を有している。このLov領域のチャネル長方向の長さは0.5〜3.0μm、好ましくは1.0〜1.5μmとした。第2のnチャネル型TFT303には、島状半導体膜211にチャネル形成領域313、Lov領域とLoff領域(ゲート電極と重ならないLDD領域であり、以降Loff領域と記す)とが形成され、このLoff領域のチャネル長方向の長さは0.3〜2.0μm、好ましくは0.5〜1.5μmである。画素TFT304には、島状半導体膜212にチャネル形成領域318、319、Loff領域320〜323、ソースまたはドレイン領域324〜326を有している。Loff領域のチャネル長方向の長さは0.5〜3.0μm、好ましくは1.5〜2.5μmである。さらに、容量配線253と、ゲート絶縁膜と同じ材料から成る絶縁膜と、画素TFT304のドレイン領域326に接続し、n型を付与する不純物元素が添加された半導体膜327とから保持容量305が形成されている。図13では画素TFT304をダブルゲート構造としたが、シングルゲート構造でも良いし、複数のゲート電極を設けたマルチゲート構造としても差し支えない。
【0072】
以上の様な構成は、画素TFTおよび駆動回路が要求する仕様に応じて各回路を構成するTFTの構造を最適化し、半導体装置の動作性能と信頼性を向上させることを可能としている。さらにゲート電極を耐熱性を有する導電性材料で形成することによりLDD領域やソース領域およびドレイン領域の活性化を容易とし、ゲート配線低抵抗材料で形成することにより、配線抵抗を十分低減できる。従って、表示領域(画面サイズ)が4インチクラス以上の表示装置に適用することができる。そして、下地膜を形成する島状絶縁膜202〜206上で選択的に形成された単結晶構造を有する結晶質シリコン膜を用いることにより、良好なTFTを作製することができる。
【0073】
[実施例2]
本実施例では、実施例1により作製したアクティブマトリクス基板からアクティブマトリクス型液晶表示装置を作製する工程を説明する。実施例1における図13の状態のアクティブマトリクス基板に対し、配向膜を形成する。通常液晶表示素子の配向膜にはポリイミド樹脂が多く用いられている。対向側の対向基板602には、遮光膜603、透明導電膜604および配向膜605を形成する。配向膜を形成した後、ラビング処理を施して液晶分子がある一定のプレチルト角を持って配向するようにした。そして、画素部とCMOS回路が形成されたアクティブマトリクス基板と対向基板とを公知のセル組み工程によってシール材やスペーサー(共に図示せず)などを介して貼りあわせる。その後、両基板の間に液晶材料606を注入し、封止剤(図示せず)によって完全に封止する。液晶材料には公知の液晶材料を用いれば良い。このようにして図14に示すアクティブマトリクス型液晶表示装置が完成する。
【0074】
なお、本実施例のアクティブマトリクス型液晶表示装置は、実施例1で説明した構造と照らし合わせて説明したが、実施例1の構成に限定されるものでなく、実施形態1〜3で示した構成を実施例1に応用して完成させたアクティブマトリクス基板を用いても良い。
【0075】
[実施例3]
本実施例では、図26で観察されるような島状の絶縁膜を用いてレーザーアニール法により結晶化した半導体膜を用いて作製されたnチャネル型TFTのドレイン電流(ID)とゲート電圧(VG)との関係を表すグラフ(以下、ID−VG曲線という)および電界効果移動度(μFE)のグラフを図25に示す。このとき、ソース電圧(VS)は、0V、ドレイン電圧(VD)は、1Vまたは5Vとした。実測値は、チャネル長(L)が2μm、チャネル幅(W)が4μmであった。なお、図25(A)は、半導体膜の膜厚が、55nm、下地段差は50nmのものである。図25(B)は、比較のため本発明を用いずに段差なしでレーザー結晶化を行った半導体膜を用いて作製されたnチャネル型TFTに対して同様の測定を行った結果を示すグラフである。
【0076】
本発明を用いて作製されたnチャネル型TFTのS値(ID−VG曲線の立ち上がり部分における最大傾きの逆数を示す値)は、VG=5Vのときに0.2〜0.4(V/decade)、電界効果移動度(μFE)は、VG=1Vのときに120〜140(cm2/V・sec)という良好な結果が得られた。
【0077】
以上より、本発明の技術を用いることにより、結晶粒の位置とその大きさを制御した結晶質半導体膜を作製することができることがわかった。
【0078】
(実施例4)
上述の本発明の液晶表示装置にはネマチック液晶以外にも様々な液晶を用いることが可能である。例えば、1998, SID, "Characteristics and Driving Scheme of Polymer-Stabilized Monostable FLCD Exhibiting Fast Response Time and High Contrast Ratio with Gray-Scale Capability" by H. Furue et al.や、1997, SID DIGEST, 841, "A Full-Color Thresholdless Antiferroelectric LCD Exhibiting Wide Viewing Angle with Fast Response Time" by T. Yoshida et al.や、1996, J. Mater. Chem. 6(4), 671-673, "Thresholdless antiferroelectricity in liquid crystals and its application to displays" by S. Inui et al.や、米国特許第5594569 号に開示された液晶を用いることが可能である。
【0079】
等方相−コレステリック相−カイラルスメクティックC相転移系列を示す強誘電性液晶(FLC)を用い、DC電圧を印加しながらコレステリック相−カイラルスメクティックC相転移をさせ、かつコーンエッジをほぼラビング方向に一致させた単安定FLCの電気光学特性を図15に示す。図15に示すような強誘電性液晶による表示モードは「Half−V字スイッチングモード」と呼ばれている。図15に示すグラフの縦軸は透過率(任意単位)、横軸は印加電圧である。「Half−V字スイッチングモード」については、寺田らの”Half−V字スイッチングモードFLCD”、第46回応用物理学関係連合講演会講演予稿集、1999年3月、第1316頁、および吉原らの”強誘電性液晶による時分割フルカラーLCD”、液晶第3巻第3号第190頁に詳しい。
【0080】
図15に示されるように、このような強誘電性混合液晶を用いると、低電圧駆動かつ階調表示が可能となることがわかる。本発明の液晶表示装置には、このような電気光学特性を示す強誘電性液晶も用いることができる。
【0081】
また、ある温度域において反強誘電相を示す液晶を反強誘電性液晶(AFLC)という。反強誘電性液晶を有する混合液晶には、電場に対して透過率が連続的に変化する電気光学応答特性を示す、無しきい値反強誘電性混合液晶と呼ばれるものがある。この無しきい値反強誘電性混合液晶は、いわゆるV字型の電気光学応答特性を示すものがあり、その駆動電圧が約±2.5V程度(セル厚約1μm〜2μm)のものも見出されている。
【0082】
また、一般に、無しきい値反強誘電性混合液晶は自発分極が大きく、液晶自体の誘電率が高い。このため、無しきい値反強誘電性混合液晶を液晶表示装置に用いる場合には、画素に比較的大きな保持容量が必要となってくる。よって、自発分極が小さな無しきい値反強誘電性混合液晶を用いるのが好ましい。
【0083】
なお、このような無しきい値反強誘電性混合液晶を本発明の液晶表示装置に用いることによって低電圧駆動が実現されるので、低消費電力化が実現される。
【0084】
〔実施例5〕
本発明を実施して形成されたCMOS回路や画素部は様々な電気光学装置(アクティブマトリクス型液晶ディスプレイ、アクティブマトリクス型ELディスプレイ、アクティブマトリクス型ECディスプレイ)に用いることができる。即ち、それら電気光学装置を表示部に組み込んだ電子装置全てに本発明を実施できる。
【0085】
その様な電子装置としては、ビデオカメラ、デジタルカメラ、プロジェクター(リア型またはフロント型)、ヘッドマウントディスプレイ(ゴーグル型ディスプレイ)、パーソナルコンピュータ、携帯情報端末(モバイルコンピュータ、携帯電話または電子書籍等)などが挙げられる。それらの一例を図16、図17及び図18に示す。
【0086】
図16(A)はパーソナルコンピュータであり、本体2001、画像入力部2002、表示部2003、キーボード2004等を含む。本発明を画像入力部2002、表示部2003やその他の信号制御回路に適用することができる。
【0087】
図16(B)はビデオカメラであり、本体2101、表示部2102、音声入力部2103、操作スイッチ2104、バッテリー2105、受像部2106等を含む。本発明を表示部2102やその他の信号制御回路に適用することができる。
【0088】
図16(C)はモバイルコンピュータ(モービルコンピュータ)であり、本体2201、カメラ部2202、受像部2203、操作スイッチ2204、表示部2205等を含む。本発明は表示部2205やその他の信号制御回路に適用できる。
【0089】
図16(D)はゴーグル型ディスプレイであり、本体2301、表示部2302、アーム部2303等を含む。本発明は表示部2302やその他の信号制御回路に適用することができる。
【0090】
図16(E)はプログラムを記録した記録媒体(以下、記録媒体と呼ぶ)を用いるプレーヤーであり、本体2401、表示部2402、スピーカ部2403、記録媒体2404、操作スイッチ2405等を含む。なお、このプレーヤーは記録媒体としてDVD(Digtial Versatile Disc)、CD等を用い、音楽鑑賞や映画鑑賞やゲームやインターネットを行うことができる。本発明は表示部2402やその他の信号制御回路に適用することができる。
【0091】
図16(F)はデジタルカメラであり、本体2501、表示部2502、接眼部2503、操作スイッチ2504、受像部(図示しない)等を含む。本発明を表示部2502やその他の信号制御回路に適用することができる。
【0092】
図17(A)はフロント型プロジェクターであり、投射装置2601、スクリーン2602等を含む。本発明は投射装置2601の一部を構成する液晶表示装置2808やその他の信号制御回路に適用することができる。
【0093】
図17(B)はリア型プロジェクターであり、本体2701、投射装置2702、ミラー2703、スクリーン2704等を含む。本発明は投射装置2702の一部を構成する液晶表示装置2808やその他の信号制御回路に適用することができる。
【0094】
なお、図17(C)は、図17(A)及び図17(B)中における投射装置2601、2702の構造の一例を示した図である。投射装置2601、2702は、光源光学系2801、ミラー2802、2804〜2806、ダイクロイックミラー2803、プリズム2807、液晶表示装置2808、位相差板2809、投射光学系2810で構成される。投射光学系2810は、投射レンズを含む光学系で構成される。本実施例は三板式の例を示したが、特に限定されず、例えば単板式であってもよい。また、図17(C)中において矢印で示した光路に実施者が適宜、光学レンズや、偏光機能を有するフィルムや、位相差を調節するためのフィルム、IRフィルム等の光学系を設けてもよい。
【0095】
また、図17(D)は、図17(C)中における光源光学系2801の構造の一例を示した図である。本実施例では、光源光学系2801は、リフレクター2811、光源2812、レンズアレイ2813、2814、偏光変換素子2815、集光レンズ2816で構成される。なお、図17(D)に示した光源光学系は一例であって特に限定されない。例えば、光源光学系に実施者が適宜、光学レンズや、偏光機能を有するフィルムや、位相差を調節するフィルム、IRフィルム等の光学系を設けてもよい。
【0096】
ただし、図17に示したプロジェクターにおいては、透過型の電気光学装置を用いた場合を示しており、反射型の電気光学装置及びEL表示装置での適用例は図示していない。
【0097】
図18(A)は携帯電話であり、本体2901、音声出力部2902、音声入力部2903、表示部2904、操作スイッチ2905、アンテナ2906等を含む。本発明を音声出力部2902、音声入力部2903、表示部2904やその他の信号制御回路に適用することができる。
【0098】
図18(B)は携帯書籍(電子書籍)であり、本体3001、表示部3002、3003、記憶媒体3004、操作スイッチ3005、アンテナ3006等を含む。本発明は表示部3002、3003やその他の信号回路に適用することができる。
【0099】
図18(C)はディスプレイであり、本体3101、支持台3102、表示部3103等を含む。本発明は表示部3103に適用することができる。本発明のディスプレイは特に大画面化した場合において有利であり、対角10インチ以上(特に30インチ以上)のディスプレイには有利である。
【0100】
以上の様に、本発明の適用範囲は極めて広く、あらゆる分野の電子装置に適用することが可能である。また、本実施例の電子装置は、実施形態1から4、実施例1〜3のどのような組み合わせからなる構成を用いても実現することができる。
【0101】
〔実施例6〕
本実施例では、本発明を用いてEL(エレクトロルミネッセンス)表示装置を作製した例について説明する。
【0102】
図19(A)は本発明を用いたEL表示装置の上面図である。図19(A)において、4010は基板、4011は画素部、4012はソース側駆動回路、4013はゲート側駆動回路であり、それぞれの駆動回路は配線4014〜4016を経てFPC4017に至り、外部機器へと接続される。
【0103】
このとき、少なくとも画素部、好ましくは駆動回路及び画素部を囲むようにしてカバー材6000、シーリング材(ハウジング材ともいう)7000、密封材(第2のシーリング材)7001が設けられている。
【0104】
また、図19(B)は本実施例のEL表示装置の断面構造であり、基板4010、下地膜4021の上に駆動回路用TFT(但し、ここではnチャネル型TFTとpチャネル型TFTを組み合わせたCMOS回路を図示している。)4022及び画素部用TFT4023(但し、ここではEL素子への電流を制御するTFTだけ図示している。)が形成されている。
【0105】
本発明は、駆動回路用TFT4022、画素部用TFT4023に際して用いることができる。
【0106】
本発明を用いて駆動回路用TFT4022、画素部用TFT4023が完成したら、樹脂材料でなる層間絶縁膜(平坦化膜)4026の上に画素部用TFT4023のドレインと電気的に接続する透明導電膜でなる画素電極4027を形成する。透明導電膜としては、酸化インジウムと酸化スズとの化合物(ITOと呼ばれる)または酸化インジウムと酸化亜鉛との化合物を用いることができる。そして、画素電極4027を形成したら、絶縁膜4028を形成し、画素電極4027上に開口部を形成する。
【0107】
次に、EL層4029を形成する。EL層4029は公知のEL材料(正孔注入層、正孔輸送層、発光層、電子輸送層または電子注入層)を自由に組み合わせて積層構造または単層構造とすれば良い。どのような構造とするかは公知の技術を用いれば良い。また、EL材料には低分子系材料と高分子系(ポリマー系)材料がある。低分子系材料を用いる場合は蒸着法を用いるが、高分子系材料を用いる場合には、スピンコート法、印刷法またはインクジェット法等の簡易な方法を用いることが可能である。
【0108】
本実施例では、シャドーマスクを用いて蒸着法によりEL層を形成する。シャドーマスクを用いて画素毎に波長の異なる発光が可能な発光層(赤色発光層、緑色発光層及び青色発光層)を形成することで、カラー表示が可能となる。その他にも、色変換層(CCM)とカラーフィルターを組み合わせた方式、白色発光層とカラーフィルターを組み合わせた方式があるがいずれの方法を用いても良い。勿論、単色発光のEL表示装置とすることもできる。
【0109】
EL層4029を形成したら、その上に陰極4030を形成する。陰極4030とEL層4029の界面に存在する水分や酸素は極力排除しておくことが望ましい。従って、真空中でEL層4029と陰極4030を連続成膜するか、EL層4029を不活性雰囲気で形成し、大気解放しないで陰極4030を形成するといった工夫が必要である。本実施例ではマルチチャンバー方式(クラスターツール方式)の成膜装置を用いることで上述のような成膜を可能とする。
【0110】
なお、本実施例では陰極4030として、LiF(フッ化リチウム)膜とAl(アルミニウム)膜の積層構造を用いる。具体的にはEL層4029上に蒸着法で1nm厚のLiF(フッ化リチウム)膜を形成し、その上に300nm厚のアルミニウム膜を形成する。勿論、公知の陰極材料であるMgAg電極を用いても良い。そして陰極4030は4031で示される領域において配線4016に接続される。配線4016は陰極4030に所定の電圧を与えるための電源供給線であり、導電性ペースト材料4032を介してFPC4017に接続される。
【0111】
4031に示された領域において陰極4030と配線4016とを電気的に接続するために、層間絶縁膜4026及び絶縁膜4028にコンタクトホールを形成する必要がある。これらは層間絶縁膜4026のエッチング時(画素電極用コンタクトホールの形成時)や絶縁膜4028のエッチング時(EL層形成前の開口部の形成時)に形成しておけば良い。また、絶縁膜4028をエッチングする際に、層間絶縁膜4026まで一括でエッチングしても良い。この場合、層間絶縁膜4026と絶縁膜4028が同じ樹脂材料であれば、コンタクトホールの形状を良好なものとすることができる。
【0112】
このようにして形成されたEL素子の表面を覆って、パッシベーション膜6003、充填材6004、カバー材6000が形成される。
【0113】
さらに、EL素子部を囲むようにして、カバー材6000と基板4010の内側にシーリング材が設けられ、さらにシーリング材7000の外側には密封材(第2のシーリング材)7001が形成される。
【0114】
このとき、この充填材6004は、カバー材6000を接着するための接着剤としても機能する。充填材6004としては、PVC(ポリビニルクロライド)、エポキシ樹脂、シリコン樹脂、PVB(ポリビニルブチラル)またはEVA(エチレンビニルアセテート)を用いることができる。この充填材6004の内部に乾燥剤を設けておくと、吸湿効果を保持できるので好ましい。
【0115】
また、充填材6004の中にスペーサーを含有させてもよい。このとき、スペーサーをBaOなどからなる粒状物質とし、スペーサー自体に吸湿性をもたせてもよい。
【0116】
スペーサーを設けた場合、パッシベーション膜6003はスペーサー圧を緩和することができる。また、パッシベーション膜とは別に、スペーサー圧を緩和する樹脂膜などを設けてもよい。
【0117】
また、カバー材6000としては、ガラス板、アルミニウム板、ステンレス板、FRP(Fiberglass−Reinforced Plastics)板、PVF(ポリビニルフルオライド)フィルム、マイラーフィルム、ポリエステルフィルムまたはアクリルフィルムを用いることができる。なお、充填材6004としてPVBやEVAを用いる場合、数十μmのアルミニウムホイルをPVFフィルムやマイラーフィルムで挟んだ構造のシートを用いることが好ましい。
【0118】
但し、EL素子からの発光方向(光の放射方向)によっては、カバー材6000が透光性を有する必要がある。
【0119】
また、配線4016はシーリング材7000および密封材7001と基板4010との隙間を通ってFPC4017に電気的に接続される。なお、ここでは配線4016について説明したが、他の配線4014、4015も同様にしてシーリング材7000および密封材7001の下を通ってFPC4017に電気的に接続される。
【0120】
[実施例7]
本実施例では、本発明を用いて実施例6とは異なる形態のEL表示装置を作製した例について、図20(A)、図20(B)を用いて説明する。図19(A)、(B)と同じ番号のものは同じ部分を指しているので説明は省略する。
【0121】
図20(A)は本実施例のEL表示装置の上面図であり、図20(A)をA-A'で切断した断面図を図20(B)に示す。
【0122】
実施例6に従って、EL素子の表面を覆ってパッシベーション膜6003までを形成する。
【0123】
さらに、EL素子を覆うようにして充填材6004を設ける。この充填材6004は、カバー材6000を接着するための接着剤としても機能する。充填材6004としては、PVC(ポリビニルクロライド)、エポキシ樹脂、シリコーン樹脂、PVB(ポリビニルブチラル)またはEVA(エチレンビニルアセテート)を用いることができる。この充填材6004の内部に乾燥剤を設けておくと、吸湿効果を保持できるので好ましい。
【0124】
また、充填材6004の中にスペーサーを含有させてもよい。このとき、スペーサーをBaOなどからなる粒状物質とし、スペーサー自体に吸湿性をもたせてもよい。
【0125】
スペーサーを設けた場合、パッシベーション膜6003はスペーサー圧を緩和することができる。また、パッシベーション膜とは別に、スペーサー圧を緩和する樹脂膜などを設けてもよい。
【0126】
また、カバー材6000としては、ガラス板、アルミニウム板、ステンレス板、FRP(Fiberglass−Reinforced Plastics)板、PVF(ポリビニルフルオライド)フィルム、マイラーフィルム、ポリエステルフィルムまたはアクリルフィルムを用いることができる。なお、充填材6004としてPVBやEVAを用いる場合、数十μmのアルミニウムホイルをPVFフィルムやマイラーフィルムで挟んだ構造のシートを用いることが好ましい。
【0127】
但し、EL素子からの発光方向(光の放射方向)によっては、カバー材6000が透光性を有する必要がある。
【0128】
次に、充填材6004を用いてカバー材6000を接着した後、充填材6004の側面(露呈面)を覆うようにフレーム材6001を取り付ける。フレーム材6001はシーリング材(接着剤として機能する)6002によって接着される。このとき、シーリング材6002としては、光硬化性樹脂を用いるのが好ましいが、EL層の耐熱性が許せば熱硬化性樹脂を用いても良い。なお、シーリング材6002はできるだけ水分や酸素を透過しない材料であることが望ましい。また、シーリング材6002の内部に乾燥剤を添加してあっても良い。
【0129】
また、配線4016はシーリング材6002と基板4010との隙間を通ってFPC4017に電気的に接続される。なお、ここでは配線4016について説明したが、他の配線4014、4015も同様にしてシーリング材6002の下を通ってFPC4017に電気的に接続される。
【0130】
[実施例8]
ここでEL表示パネルにおける画素部のさらに詳細な断面構造を図21に、上面構造を図22(A)に、回路図を図22(B)に示す。図21、図22(A)、(B)では共通の符号を用いるので互いに参照すれば良い。
【0131】
図21において、基板3501上に設けられたスイッチング用TFT3502は本発明のNTFTを用いて形成される(実施形態1〜3及び実施例1〜2参照)。但し、ダブルゲート構造とすることで実質的に二つのTFTが直列された構造となり、オフ電流値を低減することができるという利点がある。ダブルゲート構造、シングルゲート構造、またはトリプルゲート構造やそれ以上のゲート本数を持つマルチゲート構造を採用しても構わない。
【0132】
また、電流制御用TFT3503は本発明のNTFTを用いて形成される。このとき、スイッチング用TFT3502のドレイン配線35は配線36によって電流制御用TFTのゲート電極37に電気的に接続されている。また、38で示される配線は、スイッチング用TFT3502のゲート電極39a、39bを電気的に接続するゲート配線である。
【0133】
このとき、電流制御用TFT3503が本発明の構造であることは非常に重要な意味を持つ。電流制御用TFTはEL素子を流れる電流量を制御するための素子であるため、多くの電流が流れ、熱による劣化やホットキャリアによる劣化の危険性が高い素子でもある。そのため、電流制御用TFTのドレイン側に、ゲート絶縁膜を介してゲート電極に重なるようにLDD領域を設ける本発明の構造は極めて有効である。
【0134】
また、本実施例では電流制御用TFT3503をシングルゲート構造で図示しているが、複数のTFTを直列につなげたマルチゲート構造としても良い。さらに、複数のTFTを並列につなげて実質的にチャネル形成領域を複数に分割し、熱の放射を高い効率で行えるようにした構造としても良い。このような構造は熱による劣化対策として有効である。
【0135】
また、図22(A)に示すように、電流制御用TFT3503のゲート電極37となる配線は3504で示される領域で、電流制御用TFT3503のドレイン配線40と絶縁膜を介して重なる。このとき、3504で示される領域ではコンデンサが形成される。このコンデンサ3504は電流制御用TFT3503のゲートにかかる電圧を保持するためのコンデンサとして機能する。なお、ドレイン配線40は電流供給線(電源線)3506に接続され、常に一定の電圧が加えられている。
【0136】
スイッチング用TFT3502及び電流制御用TFT3503の上には第1パッシベーション膜41が設けられ、その上に樹脂絶縁膜でなる平坦化膜42が形成される。平坦化膜42を用いてTFTによる段差を平坦化することは非常に重要である。後に形成されるEL層は非常に薄いため、段差が存在することによって発光不良を起こす場合がある。従って、EL層をできるだけ平坦面に形成しうるように画素電極を形成する前に平坦化しておくことが望ましい。
【0137】
また、43は反射性の高い導電膜でなる画素電極(EL素子の陰極)であり、電流制御用TFT3503のドレインに電気的に接続される。画素電極43としてはアルミニウム合金膜、銅合金膜または銀合金膜など低抵抗な導電膜またはそれらの積層膜を用いることが好ましい。勿論、他の導電膜との積層構造としても良い。
【0138】
また、絶縁膜(好ましくは樹脂)で形成されたバンク44a、44bにより形成された溝(画素に相当する)の中に発光層45が形成される。なお、ここでは一画素しか図示していないが、R(赤)、G(緑)、B(青)の各色に対応した発光層を作り分けても良い。発光層とする有機EL材料としてはπ共役ポリマー系材料を用いる。代表的なポリマー系材料としては、ポリパラフェニレンビニレン(PPV)系、ポリビニルカルバゾール(PVK)系、ポリフルオレン系などが挙げられる。
【0139】
なお、PPV系有機EL材料としては様々な型のものがあるが、例えば「H. Shenk,H.Becker,O.Gelsen,E.Kluge,W.Kreuder,and H.Spreitzer,“Polymers for Light Emitting Diodes”,Euro Display,Proceedings,1999,p.33-37」や特開平10−92576号公報に記載されたような材料を用いれば良い。
【0140】
具体的な発光層としては、赤色に発光する発光層にはシアノポリフェニレンビニレン、緑色に発光する発光層にはポリフェニレンビニレン、青色に発光する発光層にはポリフェニレンビニレン若しくはポリアルキルフェニレンを用いれば良い。膜厚は30〜150nm(好ましくは40〜100nm)とすれば良い。
【0141】
但し、以上の例は発光層として用いることのできる有機EL材料の一例であって、これに限定する必要はまったくない。発光層、電荷輸送層または電荷注入層を自由に組み合わせてEL層(発光及びそのためのキャリアの移動を行わせるための層)を形成すれば良い。
【0142】
例えば、本実施例ではポリマー系材料を発光層として用いる例を示したが、低分子系有機EL材料を用いても良い。また、電荷輸送層や電荷注入層として炭化珪素等の無機材料を用いることも可能である。これらの有機EL材料や無機材料は公知の材料を用いることができる。
【0143】
本実施例では発光層45の上にPEDOT(ポリチオフェン)またはPAni(ポリアニリン)でなる正孔注入層46を設けた積層構造のEL層としている。そして、正孔注入層46の上には透明導電膜でなる陽極47が設けられる。本実施例の場合、発光層45で生成された光は上面側に向かって(TFTの上方に向かって)放射されるため、陽極は透光性でなければならない。透明導電膜としては酸化インジウムと酸化スズとの化合物や酸化インジウムと酸化亜鉛との化合物を用いることができるが、耐熱性の低い発光層や正孔注入層を形成した後で形成するため、可能な限り低温で成膜できるものが好ましい。
【0144】
陽極47まで形成された時点でEL素子3505が完成する。なお、ここでいうEL素子3505は、画素電極(陰極)43、発光層45、正孔注入層46及び陽極47で形成されたコンデンサを指す。図22(A)に示すように画素電極43は画素の面積にほぼ一致するため、画素全体がEL素子として機能する。従って、発光の利用効率が非常に高く、明るい画像表示が可能となる。
【0145】
ところで、本実施例では、陽極47の上にさらに第2パッシベーション膜48を設けている。第2パッシベーション膜48としては窒化珪素膜または窒化酸化珪素膜が好ましい。この目的は、外部とEL素子とを遮断することであり、有機EL材料の酸化による劣化を防ぐ意味と、有機EL材料からの脱ガスを抑える意味との両方を併せ持つ。これによりEL表示装置の信頼性が高められる。
【0146】
以上のように本発明のEL表示パネルは図21のような構造の画素からなる画素部を有し、オフ電流値の十分に低いスイッチング用TFTと、ホットキャリア注入に強い電流制御用TFTとを有する。従って、高い信頼性を有し、且つ、良好な画像表示が可能なEL表示パネルが得られる。
【0147】
なお、本実施例の構成は、実施形態1〜3、実施例1〜4の構成を自由に組み合わせて実施することが可能である。また、実施例4の電子装置の表示部として本実施例のEL表示パネルを用いることは有効である。
【0148】
〔実施例9〕
本実施例では、実施例8に示した画素部において、EL素子3505の構造を反転させた構造について説明する。説明には図23を用いる。なお、図21の構造と異なる点はEL素子の部分と電流制御用TFTだけであるので、その他の説明は省略することとする。
【0149】
図23において、電流制御用TFT3503は本発明のPTFTを用いて形成される。作製プロセスは実施形態1〜3、実施例1〜4を参照すれば良い。
【0150】
本実施例では、画素電極(陽極)50として透明導電膜を用いる。具体的には酸化インジウムと酸化亜鉛との化合物でなる導電膜を用いる。勿論、酸化インジウムと酸化スズとの化合物でなる導電膜を用いても良い。
【0151】
そして、絶縁膜でなるバンク51a、51bが形成された後、溶液塗布によりポリビニルカルバゾールでなる発光層52が形成される。その上にはカリウムアセチルアセトネート(acacKと表記される)でなる電子注入層53、アルミニウム合金でなる陰極54が形成される。この場合、陰極54がパッシベーション膜としても機能する。こうしてEL素子3701が形成される。
【0152】
本実施例の場合、発光層52で発生した光は、矢印で示されるようにTFTが形成された基板の方に向かって放射される。
【0153】
なお、本実施例の構成は、実施形態1〜3、実施例1〜4の構成と自由に組み合わせて実施することが可能である。また、実施例5の電子装置の表示部として本実施例のEL表示パネルを用いることは有効である。
【0154】
〔実施例10〕
本実施例では、図22(B)に示した回路図とは異なる構造の画素とした場合の例について図24(A)〜(C)に示す。なお、本実施例において、3801はスイッチング用TFT3802のソース配線、3803はスイッチング用TFT3802のゲート配線、3804は電流制御用TFT、3805はコンデンサ、3806、3808は電流供給線、3807はEL素子とする。
【0155】
図24(A)は、二つの画素間で電流供給線3806を共通とした場合の例である。即ち、二つの画素が電流供給線3806を中心に線対称となるように形成されている点に特徴がある。この場合、電源供給線の本数を減らすことができるため、画素部をさらに高精細化することができる。
【0156】
また、図24(B)は、電流供給線3808をゲート配線3803と平行に設けた場合の例である。なお、図24(B)では電流供給線3808とゲート配線3803とが重ならないように設けた構造となっているが、両者が異なる層に形成される配線であれば、絶縁膜を介して重なるように設けることもできる。この場合、電源供給線3808とゲート配線3803とで専有面積を共有させることができるため、画素部をさらに高精細化することができる。
【0157】
また、図24(C)は、図24(B)の構造と同様に電流供給線3808をゲート配線3803と平行に設け、さらに、二つの画素を電流供給線3808を中心に線対称となるように形成する点に特徴がある。また、電流供給線3808をゲート配線3803のいずれか一方と重なるように設けることも有効である。この場合、電源供給線の本数を減らすことができるため、画素部をさらに高精細化することができる。
【0158】
なお、本実施例の構成は、実施形態1〜2、実施例1〜4の構成と自由に組み合わせて実施することが可能である。また、実施例5の電子装置の表示部として本実施例の画素構造を有するEL表示パネルを用いることは有効である。
【0159】
[実施例11]
実施例8に示した図22(A)、(B)では電流制御用TFT3503のゲートにかかる電圧を保持するためにコンデンサ3504を設ける構造としているが、コンデンサ3504を省略することも可能である。実施例7の場合、電流制御用TFT3503として実施形態1〜2、実施例1〜4に示すような本発明のNTFTを用いているため、ゲート絶縁膜を介してゲート電極に重なるように設けられたLDD領域を有している。この重なり合った領域には一般的にゲート容量と呼ばれる寄生容量が形成されるが、本実施例ではこの寄生容量をコンデンサ3504の代わりとして積極的に用いる点に特徴がある。
【0160】
この寄生容量のキャパシタンスは、上記ゲート電極とLDD領域とが重なり合った面積によって変化するため、その重なり合った領域に含まれるLDD領域の長さによって決まる。
【0161】
また、実施例10に示した図24(A)、(B)、(C)の構造においても同様に、コンデンサ3805を省略することは可能である。
【0162】
なお、本実施例の構成は、実施形態1〜2、実施例1〜4の構成と自由に組み合わせて実施することが可能である。また、実施例5の電子装置の表示部として本実施例の画素構造を有するEL表示パネルを用いることは有効である。
【0163】
【発明の効果】
本発明の技術を用いることにより、結晶粒の位置とその大きさを制御した結晶質半導体膜を作製することができる。このような結晶質半導体膜の結晶粒の位置をTFTのチャネル形成領域に合わせて形成することにより、TFTの静特性及び動特性を飛躍的に向上させることができる。
【図面の簡単な説明】
【図1】 本発明の結晶化の工程を説明する図。
【図2】 本発明の結晶化の工程を説明する図。
【図3】 本発明の結晶化の工程を説明する図。
【図4】 本発明の実施形態の一例を示す図。
【図5】 熱解析シミュレーションの結果を示す図。
【図6】 島状絶縁膜の形状を示す上面図。
【図7】 結晶化のSEMによる観察結果を示す図。
【図8】 結晶化のSEMによる観察結果を示す図。
【図9】 画素部および駆動回路部のTFTの作製工程を示す図。
【図10】 画素部および駆動回路部のTFTの作製工程を示す図。
【図11】 画素部および駆動回路部のTFTの作製工程を示す図。
【図12】 画素部および駆動回路部のTFTの作製工程を示す図。
【図13】 画素部および駆動回路部のTFTの作製工程を示す図。
【図14】 液晶表示装置の構造を示す図。
【図15】 反強誘電性混合液晶の光透過率特性の一例を示す図。
【図16】 半導体装置の一例を示す図。
【図17】 半導体装置の一例を示す図。
【図18】 半導体装置の一例を示す図。
【図19】 EL表示装置の構造を示す図。
【図20】 EL表示装置の構造を示す図。
【図21】 EL表示装置の構造を示す図。
【図22】 EL表示装置の構造を示す図。
【図23】 EL表示装置の構造を示す図。
【図24】 EL表示装置の構造を示す図。
【図25】 TFTの特性を示す図。
【図26】 結晶化のSEMによる観察結果を示した図。
[0001]
BACKGROUND OF THE INVENTION
The present invention relates to a method for manufacturing a semiconductor film having a crystal structure formed over a substrate having an insulating surface, and a method for manufacturing a semiconductor device using the semiconductor film as an active layer. In particular, the present invention relates to a semiconductor device using a crystalline semiconductor film as an active layer and an electronic device using the semiconductor device as a display portion.
[0002]
[Prior art]
In recent years, the mobility of low-temperature polysilicon has been improved. off There is a movement to reduce the channel formation region to a single crystal with few defects for reduction. Therefore, an amorphous semiconductor film is formed over a light-transmitting substrate having an insulating surface, and a crystalline semiconductor film crystallized by a laser annealing method or a thermal annealing method is referred to as a thin film transistor (hereinafter referred to as TFT). The technology used for the active layer is being developed.
[0003]
The laser annealing method is known as a crystallization technique that does not raise the temperature of a glass substrate so much and can crystallize only an amorphous semiconductor film by applying high energy. In particular, an excimer laser that oscillates short-wavelength light having a wavelength of 400 nm or less is a typical laser that has been used since the development of this laser annealing method. In recent years, other technologies using a solid-state YAG laser have also been developed. In these laser annealing methods, a laser beam is processed by an optical system so as to be spot-like or linear on the irradiated surface, and the irradiated surface on the substrate is scanned with the processed laser light (laser light irradiation). The irradiation position is moved relative to the irradiated surface). For example, the excimer laser annealing method using linear laser light can also perform laser annealing of the entire irradiated surface by scanning only in the direction perpendicular to the longitudinal direction, and is excellent in productivity. It is becoming mainstream as a display device manufacturing technology. The technology enables a monolithic liquid crystal display device in which a TFT (pixel TFT) for forming a pixel portion on a single glass substrate and a TFT for a driving circuit provided around the pixel portion are formed.
[0004]
However, the crystalline semiconductor film produced by the laser annealing method is a collection of a plurality of crystal grains, and the position and size of the crystal grains are random. A TFT fabricated on a glass substrate is formed by separating a crystalline semiconductor film into island-like patterns for element isolation, and cannot be formed by specifying the position and size of crystal grains. It was. At the crystal grain interface (grain boundary), the current transport characteristics of the carrier deteriorate due to the influence of recombination centers, trap centers, and potential levels at the grain boundaries due to amorphous structures and crystal defects. It is known that there is.
[0005]
The crystallinity of the semiconductor film in the channel formation region has a significant effect on the characteristics of the TFT, but it is almost impossible to form the channel formation region with a single crystal semiconductor film by eliminating the influence of crystal grain boundaries. It was.
[0006]
In order to solve such problems, attempts have been made to grow crystal grains greatly. For example, "" High-Mobility Poly-Si Thin-Film Transistors Fabricated by a Novel Excimer Laser Crystallization Method ", K. Shimizu, O. Sugiura and M. Matumura, IEEE Transactions on Electron Devices vol.40, No.1, pp112 -117,1993 "includes Si / SiO2 on the substrate. 2 There is a report on a laser annealing method in which a film having a three-layer structure of / Si is formed, and excimer laser light is irradiated from both the film side and the substrate side. According to the method, it is shown that the crystal grains can be enlarged by irradiating laser light with a certain predetermined energy intensity.
[0007]
[Problems to be solved by the invention]
The method of K. Shimizu et al. Is characterized in that the thermal characteristics of the base material of the amorphous silicon film are locally changed to control the flow of heat to the substrate to give a temperature gradient. However, for this purpose, a three-layer structure of a refractory metal layer / silicon oxide layer / semiconductor film is formed on a glass substrate. Although it is structurally possible to form a top gate TFT using this semiconductor film as an active layer, a parasitic capacitance is generated by the silicon oxide film provided between the semiconductor film and the refractory metal layer. As a result, power consumption increases and it is difficult to realize high-speed operation of the TFT.
[0008]
The method of making a phase difference in a laser and the step irradiation method have a problem that the laser apparatus becomes complicated. In addition, when an attempt is made to crystallize the drive element of a liquid crystal panel with a built-in drive circuit, the normal elements are arranged in various ways rather than at regular intervals, so that the entire channel formation region is surely made large in size and single. There was a possibility that it could not be crystallized.
[0009]
Dual beam method (a method of crystallizing an amorphous semiconductor film by irradiating a laser from both sides of the substrate or by irradiating a laser from one side of the substrate and reflecting the laser that has passed through the substrate with a mirror etc. and irradiating the laser from both sides of the substrate) .) And the method of combining the three-layer island structure can be used for crystallization of the driving element of a liquid crystal panel with a built-in driving circuit. This is difficult and is not suitable for a thin film transistor having a large channel width. In addition, parasitic capacitance is generated between the metal and Si, causing a signal delay. Further, depending on the metal material, there is a problem that peeling occurs because the temperature becomes high during irradiation.
[0010]
Furthermore, although the method of using the high thermal conductivity insulating film as a base has the merit that no parasitic capacitance is generated between the metal and Si, it is necessary to develop a stable high thermal conductivity insulating film.
[0011]
The present invention is a technique for solving such problems. A crystalline semiconductor film in which the position and size of crystal grains are controlled is produced, and the crystalline semiconductor film is used as a channel formation region of a TFT. As a result, a TFT capable of high-speed operation is realized. It is another object of the present invention to provide a technique in which such a TFT can be applied to various semiconductor devices such as a transmissive liquid crystal display device and a display device using an electroluminescent material.
[0012]
[Means for Solving the Problems]
Instead of using a metal or high thermal conductivity insulating film on a substrate such as glass, a temperature gradient is created by using only a conventional insulating film to create a temperature gradient, and the amorphous semiconductor layer is formed using this temperature gradient. Laser annealing is used as a method for crystallization. In the laser annealing method of the present invention, a pulse oscillation type or continuous oscillation type excimer laser, YAG laser, or argon laser is used as a light source, and laser light formed in a linear or rectangular shape by an optical system is used as an island-shaped semiconductor layer. On the other hand, from the surface of the substrate on which the island-shaped semiconductor layer is formed (defined as a surface on which the island-shaped semiconductor layer is formed in this specification) or from the front and back surfaces (in this specification, the island-shaped semiconductor is formed) The surface on which the layer is formed and the opposite surface).
[0013]
According to the present invention, a thermal analysis simulation of crystallization performed by patterning the base insulating film into an island shape and using a step due to the island-shaped insulating film to generate a temperature gradient is as shown in FIG. Results were obtained. Note that in this specification, the level difference means a convex portion provided in the base insulating film as shown in FIG. 4 or the highest portion of the unevenness on the surface of the semiconductor film caused by the island-shaped insulating film (FIG. 1C ) Is the difference between the lowest part (the part corresponding to the area B in FIG. 1C).
[0014]
As a reason why such a result is obtained, generation of a temperature gradient can be considered. The area B in FIG. 5A cools faster than other places because (1) the underlying insulating film directly under (1) and the underlying insulating film exist next to each other as a place for heat to escape. On the contrary, the temperature of the C region is difficult to decrease because of the heat escaping from the B region. Therefore, a temperature gradient occurs between the B region and the C region.
[0015]
Next, how the semiconductor film is completely melted and crystallized by laser light irradiation will be described. First, for the reasons described above, solid phase starts from the B region where the temperature first falls, and crystal nuclei are generated. This nucleus becomes the center of crystal growth, and crystal growth proceeds toward the C region or A region in a molten state at a high temperature.
Even when the semiconductor film is not completely melted by laser light irradiation and a solid phase remains, this solid phase (micro solid phase) becomes the center of crystal growth, and crystal growth proceeds from there using a temperature gradient, For this reason, a crystal with a large grain size can be formed by controlling the location.
[0016]
For this reason, a TFT fabricated on a conventional glass substrate can be used without using a base oxide film as a heat storage layer or a heat capacity gradient at a desired position or providing high thermal conductivity on the substrate. By using the semiconductor film / underlying insulating film / substrate used to pattern the underlying insulating film into a desired shape and providing a step, the temperature distribution inside the semiconductor film generated corresponding to this step shape is utilized, The location and direction of lateral growth can be controlled.
[0017]
DETAILED DESCRIPTION OF THE INVENTION
[Embodiment 1]
An embodiment of the present invention will be described with reference to FIGS. In FIG. 1A, an alkali-free glass substrate such as barium borosilicate glass or alumino borosilicate glass is used for the substrate 101. For example, Corning 7059 glass or 1737 glass can be suitably used.
[0018]
An insulating film having a light-transmitting property and an insulating property is formed on the surface of the substrate 101 on which the TFT is formed, and is patterned to obtain the island-shaped insulating film 102. This island-like insulating film may be formed of a material having excellent thermal conductivity. In that case, the thermal conductivity is 10 Wm -1 K -1 The above is desirable. For example, aluminum oxide (aluminum oxide (Al 2 O Three ) Is translucent in visible light and has a thermal conductivity of 20 Wm -1 K -1 It is suitable. Aluminum oxide is not limited to the stoichiometric ratio, and other elements may be added in order to control characteristics such as thermal conductivity characteristics and internal stress. For example, when aluminum oxide is mixed with nitrogen, aluminum oxynitride (AlN x O 1-x : 0.02 ≦ x ≦ 0.5) or aluminum nitride (AlN) x ) Can also be used. Alternatively, a compound containing silicon (Si), oxygen (O), nitrogen (N), and M (M is at least one selected from aluminum (Al) or a rare earth element) can be used. For example, AlSiON or LaSiON can be suitably used. In addition, boron nitride or the like can also be applied.
[0019]
Any of the above oxides, nitrides, and compounds can be formed by sputtering or plasma CVD. In the case of sputtering, a target having a desired composition can be used, and sputtering can be performed using an inert gas such as argon (Ar) or nitrogen. Also, the thermal conductivity is 1000Wm -1 K -1 A thin diamond layer or a DLC (Diamond Like Carbon) layer may be provided. In any case, by forming the insulating film 102 with a thickness of 50 to 500 nm (preferably 200 nm) using such a material, an increase in temperature due to laser light irradiation can be suppressed. Further, the side wall angle at the end face of the insulating film 102 is tapered so as to be 5 ° or more and less than 50 ° with respect to the main surface of the glass substrate 101, and the step coverage of the film stacked on this is ensured. To do.
[0020]
Over this, a base insulating film 103 is formed using a silicon oxide film, a silicon nitride film, a silicon oxynitride film, or the like. The silicon oxynitride film is made of SiH by plasma CVD. Four , N 2 O is produced as a source gas. O in this source gas 2 May be added. Although the manufacturing conditions are not limited, the silicon oxynitride film as the base insulating film has a film thickness of 50 to 500 nm, an oxygen concentration of 55 to 70 atomic%, and a nitrogen concentration of 1 to 20 atomic%. To be. With such a composition, the internal stress of the silicon oxynitride film is reduced and the fixed charge density is reduced.
[0021]
The island-shaped semiconductor film 104 illustrated in FIG. 1B is formed to a thickness of 25 to 2000 nm (preferably 30 to 100 nm). In this method, a semiconductor film having an amorphous structure is formed by a known method such as a plasma CVD method or a sputtering method, and then unnecessary portions are removed by an etching process. FIG. 1C is a top view thereof. The island-shaped semiconductor film is formed above an island-shaped base insulating film formed in a rectangular or strip-shaped pattern, and intersects the island-shaped base insulating film perpendicularly. The end portions of the island-like semiconductor film and the end portion of the short side of the base insulating film were arranged so as not to overlap. As a semiconductor film having an amorphous structure for forming an island-shaped semiconductor film, there are an amorphous semiconductor film and a microcrystalline semiconductor film, and a compound semiconductor film having an amorphous structure such as an amorphous silicon germanium film. May be applied.
[0022]
In FIG. 2, the crystallization process by the laser annealing method of the present invention will be described. In the crystallization step, it is desirable to first release hydrogen contained in the amorphous semiconductor film, and heat treatment is performed at 400 to 500 ° C. for about 1 hour so that the amount of hydrogen contained is 5 atomic% or less. .
[0023]
When crystallization is performed by laser annealing, a pulse oscillation type or continuous oscillation type excimer laser, YAG laser, or argon laser is used as the light source.
[0024]
FIG. 2A illustrates a state where the laser light 110 is irradiated onto the island-shaped semiconductor film. The island-shaped semiconductor film 104 is formed along the island-shaped insulating film 102, the region A 105 is a step region formed by the island-shaped insulating film 102, and the region 106 is an outer region B. In any case, the island-shaped semiconductor film is heated by laser light irradiation and is once melted. Crystal nuclei are presumed to be generated during the cooling process from the molten state to the solid state, but the nucleation density has a correlation with the temperature of the molten state and the cooling rate. The tendency to increase the nucleation density has been obtained as empirical knowledge.
[0025]
In the structure of FIG. 1A, the region where the island-shaped insulating film 102 is formed has a larger volume and a larger heat capacity, so that a rise in temperature due to laser light irradiation is suppressed. When the dual beam laser annealing method is used, laser light is irradiated from the substrate-side surface of the island-shaped semiconductor film 104 and the opposite surface, and the both surfaces are heated, so the cooling rate is the conventional single-beam laser. Relatively slow compared to the annealing method. As a result, lateral growth due to the temperature gradient inside the step is suppressed, and radial lateral growth is obtained with the crystal nucleus centering on the region of the island-shaped semiconductor film overlapping the island-shaped insulating film 102.
[0026]
As a result, a crystal having a large grain shape grows around the island-shaped insulating film 102, and a large-grained crystal is obtained in the region A formed along the island-shaped insulating film 102 indicated by 105. Then, it becomes a relatively small crystal grain. FIG. 2B is a top view showing the state. When the TFT is manufactured, this step region becomes the width of the channel formation region. Further, such an effect becomes prominent when the number of repeated pulses of the pulse laser beam to be irradiated is increased.
[0027]
Thereafter, the island-like semiconductor film remains by heat treatment at 300 to 450 ° C. in an atmosphere containing 3 to 100% hydrogen, or heat treatment at 200 to 450 ° C. in an atmosphere containing hydrogen generated by plasma. Defects can be neutralized. By producing the TFT active layer using the region A105 of the island-like semiconductor film 104 thus produced as a channel formation region, the characteristics of the TFT can be improved.
[0028]
[Embodiment 2]
The method for producing an island-like semiconductor film having a crystal structure as an active layer of a TFT is not produced only from the laser annealing method, and the laser annealing method and the thermal annealing method according to the present invention may be used in combination. In particular, crystallization by thermal annealing can be realized at a temperature of 600 ° C. or lower when applied to the crystallization method using a catalytic element disclosed in Japanese Patent Application Laid-Open No. 7-130652. When the processed crystalline semiconductor film is processed by the laser annealing method according to the present invention, a high-quality crystalline semiconductor film can be obtained. Such an embodiment will be described with reference to FIG.
[0029]
In FIG. 3A, the glass substrate described in Embodiment 1 can be preferably used as the substrate 150. In addition, the island-shaped insulating film 151, the base insulating film 152, and the amorphous semiconductor film 153 are manufactured in the same manner as in the first embodiment. Then, an aqueous solution containing a catalytic element of 5 to 100 ppm in terms of weight is applied by a spin coating method to form a layer 154 containing the catalytic element. Alternatively, the layer 154 containing a catalytic element may be formed by a sputtering method, a vapor deposition method, or the like. In that case, the thickness of the layer 154 containing the catalytic element is 0.5 to 2 nm. Catalyst elements include nickel (Ni), germanium (Ge), iron (Fe), palladium (Pd), tin (Sn), lead (Pb), cobalt (Co), platinum (Pt), copper (Cu), gold (Au).
[0030]
Thereafter, heat treatment is first performed at 400 to 500 ° C. for about 1 hour, so that the hydrogen content of the amorphous semiconductor film is set to 5 atomic% or less. Then, using a furnace annealing furnace, thermal annealing is performed in a nitrogen atmosphere at 550 to 600 ° C. for 1 to 8 hours, preferably at 550 ° C. for 4 hours. Through the above steps, a crystalline semiconductor film 155 made of a crystalline silicon film can be obtained (FIG. 3B).
[0031]
As shown in FIG. 3C, an island-shaped semiconductor film 160 is formed from the crystalline semiconductor film 155. Single-beam laser annealing is performed on the substrate in this state as shown in FIG. (Dual beam laser annealing may be used. If the dual beam method is used, a light-transmitting substrate may be used.) As a result, the island-shaped semiconductor having a new crystal structure once melted by the laser beam 156 A film 160 is formed. The island-shaped semiconductor film 160 manufactured in this manner can be formed with a crystal grain having a grain size equal to or larger than that of the island-shaped semiconductor film 107 described in FIG. . However, in the island-shaped semiconductor film 560, the catalyst element is 1 × 10 17 ~ 1x10 19 atoms / cm Three Contained at a concentration of about.
[0032]
Therefore, by using the technique described in Japanese Patent Application Laid-Open No. 10-135468 or Japanese Patent Application Laid-Open No. 10-135469, the concentration of the catalyst element in the island-shaped semiconductor film is set to 1 × 10. 17 atoms / cm Three Or less, preferably 1 × 10 16 atoms / cm Three It can be reduced to the following.
[0033]
An impurity element belonging to Group 15 of the periodic table having gettering action, typically phosphorus, is selectively added to the island-like semiconductor film, and heat treatment is performed in a nitrogen atmosphere at 550 to 800 ° C. for 5 to 24 hours. If it carries out, the catalyst element which remained in the island-like semiconductor film can be moved to the area | region where phosphorus was added. Thereafter, the phosphorus-added region to which the catalytic element has moved is removed by etching, so that the catalytic element concentration becomes 1 × 10 6. 17 atoms / cm Three An island-like semiconductor film reduced to the following can be obtained.
[0034]
[Embodiment 3]
In the same manner as in Embodiment Mode 1, an insulating film is formed on the substrate. Thereafter, the insulating film is patterned to form an island-shaped insulating film. Various shapes can be adopted for the island-shaped insulating film. In this embodiment, an example is shown in FIG. FIG. 6 is a view as seen from above, and the shaded area is a stepped region formed by the base insulating film.
[0035]
In FIG. 6A, the insulating film is patterned to be a rectangle having a width (a portion corresponding to a channel formation region) of 2 to 5 μm for the purpose of lateral growth inside the step region.
In FIG. 6A, in addition to lateral growth in the channel length direction using a temperature gradient generated by an island-shaped insulating film provided so as to intersect the semiconductor film, the semiconductor film is formed in an island shape. And lateral growth in the channel width direction using the temperature gradient generated at the edge. As a result, it was possible to increase the particle size at an arbitrary location.
FIG. 7 shows the result of observing the crystallization state by SEM when the island-like insulating film is formed into a rectangular shape having a width of 5 μm and crystallized. It can be seen that crystal growth proceeds from the edge of the step due to the island-shaped insulating film and the edge of the island-shaped semiconductor film.
[0036]
In addition, when an opening is formed inside the rectangular base insulating film as shown in FIG. 6B, crystal growth from the opening to the outside can also occur, and better crystallization can be achieved. Conceivable.
[0037]
FIG. 6C shows an attempt to obtain radial lateral growth with the step as the center of crystal grain growth, in which the insulating film is patterned into a circle having a diameter of 1.0 to 2.0 μm. When the diameter is about 1 μm, lateral growth due to the temperature gradient inside the step can be suppressed.
FIG. 8 shows the result of observation of a cylindrical step region (diameter design value 2 μm) with an SEM. It can be seen that radial lateral growth was obtained centering on the circular step. In addition, when an insulating film is patterned into a columnar shape and crystallized as shown in FIG. 6C to produce a TFT, a TFT as shown in FIG. 6D is produced.
[0038]
FIG. 6 (E) shows a strip shape in order to avoid a collision during the lateral growth from the inner edge of the step by the pair of island-like insulating films toward the other island-like insulating film. This is an example in which a part of the island-shaped insulating film is provided so as to overlap with the island-shaped semiconductor film.
[0039]
As shown in FIG. 6F, an organic resin having a low thermal conductivity is used as a step material in order to increase the crystal growth by extending the cooling rate without changing the temperature distribution using the step formed by the island-like insulating film. It is also conceivable to use a membrane such as BCB (benzocyclobutene).
[0040]
FIG. 6G illustrates an example in which a step is formed in the vicinity of the edge of the long side of the semiconductor film so as not to protrude from the edge of the semiconductor film or slightly over the semiconductor film. The practitioner may appropriately determine the interval between the pair of island-like insulating films. As a result, the temperature of the edge portion of the semiconductor film is lowered faster than usual, so that the temperature difference from the inside of the semiconductor film becomes steep and lateral growth is promoted.
[0041]
The pattern of the island-like insulating film shown above can be used for either Embodiment 1 or Embodiment 2.
[0042]
[Example 1]
The present invention will be described with reference to FIGS. Here, a method for simultaneously manufacturing an n-channel TFT (hereinafter referred to as a pixel TFT) and a storage capacitor in a pixel portion, and an n-channel TFT and a p-channel TFT in a driver circuit provided in the periphery of the pixel portion, according to steps. explain.
[0043]
In FIG. 9, Corning 1737 glass was used for the substrate 201. A base insulating film is formed on the surface of the substrate 201 on which the TFT is formed. This film is formed of silicon oxide, silicon nitride, silicon oxynitride, or the like.
[0044]
In the case where a silicon oxide film is used, tetraethyl orthosilicate (TEOS) and O2 are formed by plasma CVD. 2 The reaction pressure is 40 Pa, the substrate temperature is 300 to 400 ° C., and the high frequency (13.56 MHz) power density is 0.5 to 0.8 W / cm. 2 And can be formed by discharging. When using a silicon oxynitride film, SiH is formed by plasma CVD. Four , N 2 O, NH Three Silicon oxynitride film manufactured from SiH or SiH Four , N 2 A silicon oxynitride film formed from O may be used. The production conditions in this case are a reaction pressure of 20 to 200 Pa, a substrate temperature of 300 to 400 ° C., and a high frequency (60 MHz) power density of 0.1 to 1.0 W / cm. 2 Can be formed. SiH Four , N 2 O, H 2 Alternatively, a silicon oxynitride silicon film manufactured from the above may be used. Similarly, the silicon nitride film is made of SiH by plasma CVD. Four , NH Three It is possible to make from.
[0045]
A base insulating film typified by the above is formed on the entire surface of the substrate 201 to a thickness of 20 to 200 nm (preferably 30 to 60 nm), and then a resist mask is formed using a photolithography technique to remove unnecessary portions. Is etched and the insulating film is patterned into a rectangular shape to form island-shaped insulating films 202 to 206. For the insulating film, a dry etching method using a fluorine-based gas may be used, or a wet etching method using a fluorine-based aqueous solution may be used. When the latter method is selected, for example, ammonium hydrogen fluoride (NH Four HF 2 ) 7.13% and ammonium fluoride (NH Four F) may be etched with a mixed solution containing 15.4% (product name: LAL500, manufactured by Stella Chemifa).
[0046]
The pattern dimensions of the island-like insulating films 202 to 206 are appropriately determined by the practitioner, but in actuality, the pattern dimensions may be determined in consideration of the size (channel length and channel width) of the TFT to be manufactured. In this example, an island-like insulating film having a width of 5 μm was formed as shown in FIG. 6A in Embodiment 3. However, the island-like insulating film may adopt various shapes as shown in Embodiment 3. Is possible.
[0047]
Next, a base insulating film 207 is formed to cover the island-shaped insulating film. This film is formed with a thickness of 50 to 300 nm (preferably 100 to 200 nm) using a silicon oxide film, a silicon nitride film, a silicon oxynitride film, or the like, like the island-shaped insulating film.
[0048]
Next, a semiconductor film 208 having an amorphous structure with a thickness of 25 to 2000 nm (preferably 30 to 100 nm) is formed by a known method such as a plasma CVD method or a sputtering method. In this embodiment, an amorphous silicon film is formed to a thickness of 55 nm by plasma CVD. As the semiconductor film having an amorphous structure, there are an amorphous semiconductor film and a microcrystalline semiconductor film, and a compound semiconductor film having an amorphous structure such as an amorphous silicon germanium film may be applied. Further, since the base insulating film 207 and the amorphous silicon film 208 can be formed by a plasma CVD method, both may be continuously formed in a reduced-pressure atmosphere. After the base insulating film 207 is formed, exposure to the air atmosphere prevents the surface from being contaminated, and variations in characteristics of TFTs to be manufactured and variations in threshold voltage can be reduced.
[0049]
Then, as shown in FIG. 9B, unnecessary portions of the amorphous semiconductor film 208 are removed by etching to form island-shaped semiconductor films 209 to 212. The practitioner may determine the shape and size of the island-like semiconductor film appropriately.
[0050]
The island-shaped semiconductor films 209 to 212 are crystallized by a single beam laser annealing method. Any of the methods shown in the first and second embodiments may be applied. For example, using a XeCl excimer laser (wavelength 308 nm) as a laser beam generator, a linear beam is formed by an optical system, an oscillation frequency of 5 to 50 Hz, and an energy density of 100 to 500 mJ / cm. 2 Irradiation is performed with a linear beam overlap ratio of 80 to 98%. In this way, the island-shaped semiconductor films 209 to 212 could be crystallized.
[0051]
Thereafter, a mask layer 213 made of a silicon oxide film having a thickness of 50 to 100 nm is formed by plasma CVD, low pressure CVD, or sputtering. For example, SiH by the low pressure CVD method Four And O 2 A silicon oxide film is formed by heating to 400 ° C. at 266 Pa (FIG. 9C).
[0052]
In the channel doping process, a photoresist mask 215 is provided, and 1 × 10 6 is formed on the entire surface of the island-shaped semiconductor films 209 to 212 for forming the n-channel TFT. 16 ~ 5x10 17 atoms / cm Three Boron (B) is added as an impurity element imparting p-type at a moderate concentration. Boron (B) may be added by an ion doping method, or may be added simultaneously with the formation of an amorphous silicon film. Channel doping is performed for the purpose of controlling the threshold voltage and is not an essential process for manufacturing a TFT, but is formed to keep the threshold voltage of an n-channel TFT within a predetermined range. It is preferable to do this (FIG. 9D).
[0053]
Then, an impurity element imparting n-type conductivity is selectively added to the island-shaped semiconductor films 210 and 211 in order to form an LDD region of the n-channel TFT of the driver circuit. Photoresist masks 215 to 218 are formed in advance. In this process, phosphine (PH) is added to add phosphorus (P). Three The ion doping method using) is applied. Impurity region (n - ) The phosphorus (P) concentration of 219, 220, 221 is 5 × 10 17 ~ 5x10 18 atoms / cm Three (FIG. 10A). The impurity region 221 is a semiconductor film for forming a storage capacitor of the pixel portion, and it is preferable that phosphorus (P) is added to this region at the same concentration to improve conductivity.
[0054]
Next, the mask layer 213 is removed with hydrofluoric acid or the like, and a step of activating the impurity element added in FIGS. 9D and 10A is performed. The activation can be performed by a method of thermal annealing or laser annealing at 500 to 600 ° C. for 1 to 4 hours in a nitrogen atmosphere. Moreover, you may carry out using both together. In this embodiment, a laser activation method is used, a KrF excimer laser beam (wavelength 248 nm) is used to form a linear beam, an oscillation frequency of 5 to 50 Hz, and an energy density of 100 to 500 mJ / cm. 2 As a result, the entire surface of the substrate on which the island-shaped semiconductor film is formed is processed by scanning the linear beam with an overlap ratio of 80 to 98%. Note that there are no particular limitations on the laser light irradiation conditions, and the practitioner may make a proper decision.
[0055]
Then, the gate insulating film 222 is formed with an insulating film containing silicon with a thickness of 40 to 150 nm by plasma CVD or sputtering. For example, SiH Four , N 2 O, O 2 A silicon oxynitride film formed by a plasma CVD method using as a raw material (FIG. 10B).
[0056]
Next, first conductive layers 223 and 224 for forming a gate electrode and a capacitor wiring are formed. Although this conductive layer may be formed as a single layer, it may have a laminated structure of two layers or three layers as required. In this embodiment, a conductive layer (A) 223 made of a conductive nitride metal film and a conductive layer (B) 224 made of a metal film are stacked. The conductive layer (B) 224 is an element selected from tantalum (Ta), titanium (Ti), molybdenum (Mo), and tungsten (W), an alloy containing the element as a main component, or an alloy film in which the elements are combined. (Typically, the conductive layer (A) 223 may be formed of tantalum nitride (TaN), tungsten nitride (WN), titanium nitride (TiN) film, or nitride). It is made of molybdenum (MoN) or the like. Alternatively, tungsten silicide, titanium silicide, or molybdenum silicide may be used for the conductive layer (A) 223. In order to reduce the resistance of the conductive layer (B) 224, the concentration of impurities contained in the conductive layer (B) 224 is preferably reduced. In particular, the oxygen concentration is preferably 30 ppm or less. For example, tungsten (W) can realize a specific resistance value of 20 μΩcm or less by setting the oxygen concentration to 30 ppm or less.
[0057]
The conductive layer (A) 223 may be 10 to 50 nm (preferably 20 to 30 nm), and the conductive layer (B) 224 may be 200 to 400 nm (preferably 250 to 350 nm). In this embodiment, a 30 nm thick TaN film is used for the conductive layer (A) 223 and a 350 nm Ta film is used for the conductive layer (B) 224, both of which are formed by sputtering. The TaN film is formed by using Ta as a target and using a mixed gas of Ar and nitrogen as a sputtering gas. Ta uses Ar as the sputtering gas. In addition, when an appropriate amount of Xe or Kr is added to these sputtering gases, the internal stress of the film to be formed can be relaxed and the film can be prevented from peeling. The resistivity of the α-phase Ta film is about 20 μΩcm and can be used as a gate electrode, but the resistivity of the β-phase Ta film is about 180 μΩcm and is not suitable for a gate electrode. Since the TaN film has a crystal structure close to an α phase, an α phase Ta film can be easily obtained by forming a Ta film thereon. Although not shown, it is effective to form a silicon film doped with phosphorus (P) with a thickness of about 2 to 20 nm under the conductive layer (A) 223. This improves adhesion and prevents oxidation of the conductive film formed thereon, and at the same time, an alkali metal element contained in a trace amount in the conductive layer (A) 223 or the conductive layer (B) 224 is added to the gate insulating film 222. It can be prevented from spreading. In any case, the conductive layer (B) 224 preferably has a resistivity in the range of 10 to 500 μΩcm (FIG. 10C).
[0058]
Next, photoresist masks 225 to 230 are formed, and the conductive layer (A) 223 and the conductive layer (B) 224 are etched together to form the gate electrodes 231 to 234 and the capacitor wiring 235. For example, CF by dry etching Four And O 2 Mixed gas, or Cl 2 At a reaction pressure of 1 to 20 Pa. The gate electrodes 231 to 234 and the capacitor wiring 235 are integrally formed of 231a to 235a made of the conductive layer (A) 223 and 231b to 235b made of the conductive layer (B) 224. At this time, the gate electrodes 232 and 233 provided in the n-channel TFT are formed so as to overlap with part of the impurity regions 219 and 220 (FIG. 10D). Alternatively, the gate electrode can be formed using only the conductive layer (B) 224.
[0059]
Next, in order to form a source region and a drain region of the p-channel TFT of the driver circuit, a step of adding an impurity element imparting p-type is performed. Here, impurity regions are formed in a self-aligning manner using the gate electrode 231 as a mask. A region where the n-channel TFT is formed is covered with a photoresist mask 236. And diborane (B 2 H 6 The impurity region (p + 237 to 1 × 10 twenty one atoms / cm Three (FIG. 11A).
[0060]
Next, in the n-channel TFT, an impurity region functioning as a source region or a drain region is formed. Resist masks 238 to 241 are formed, and an impurity element imparting n-type conductivity is added to form impurity regions 242-246. This is the phosphine (PH Three The impurity region (n + ) (P) concentration of 242-246 is 5 × 10 20 atoms / cm Three (FIG. 11B). The impurity region 242 already contains boron (B) added in the previous step, but phosphorus (P) is only added at a concentration of 1/2 to 1/3 as compared with it. There is no need to consider the effect of phosphorus (P), and it does not affect the TFT characteristics.
[0061]
Then, in order to form an LDD region of the n-channel TFT in the pixel portion, an impurity addition step for imparting n-type is performed. Here, an impurity element imparting n-type is added by ion doping in a self-aligning manner using the gate electrode 234 as a mask. The concentration of phosphorus (P) to be added is 5 × 10 16 atoms / cm Three 10A, FIG. 11A and FIG. 11B, the impurity region (n - Only 247 and 248 are formed (FIG. 11C).
[0062]
Thereafter, a heat treatment process is performed to activate the impurity element imparting n-type or p-type added at each concentration. This step can be performed by laser annealing. Here, the activation process is performed by furnace annealing. The heat treatment is performed at 400 to 700 ° C., typically 500 to 600 ° C. in a nitrogen atmosphere having an oxygen concentration of 1 ppm or less, preferably 0.1 ppm or less. In this embodiment, the heat treatment is performed at 550 ° C. for 4 hours. Do.
[0063]
In this thermal annealing, the Ta films 231b to 235b forming the gate electrodes 231 to 234 and the capacitor wiring 235 are formed with conductive layers (C) 231c to 235c made of TaN with a thickness of 5 to 80 nm from the surface. In addition, tungsten nitride (WN) can be formed when the conductive layers (B) 231b to 235b are tungsten (W), and titanium nitride (TiN) can be formed when the conductive layers (B) 231b to 235b are titanium (Ti). Alternatively, the gate electrodes 231 to 234 can be formed in the same manner by exposing them to a plasma atmosphere containing nitrogen using nitrogen or ammonia. Further, thermal annealing is performed at 300 to 450 ° C. for 1 to 12 hours in an atmosphere containing 3 to 100% hydrogen to perform a step of hydrogenating the island-shaped semiconductor film. This process is performed on the island-like semiconductor film 10 by thermally excited hydrogen. 16 -10 18 /cm Three This is a step of terminating the dangling bond. As another means of hydrogenation, plasma hydrogenation (using hydrogen excited by plasma) may be performed.
[0064]
When a catalytic element that promotes crystallization of silicon is used in the crystallization process, and then the gettering process described in Embodiment 2 is not performed, a small amount (1 × 10 10) is contained in the island-shaped semiconductor film. 17 ~ 1x10 19 atoms / cm Three Degree) catalyst element remains. Of course, it is possible to complete the TFT even in such a state, but it is more preferable to remove at least the remaining catalyst element from the channel formation region. One means for removing this catalytic element is a means that utilizes the gettering action of phosphorus (P). The concentration of phosphorus (P) necessary for gettering depends on the impurity region (n + The catalyst element can be segregated from the channel formation region of the n-channel TFT and the p-channel TFT to the impurity regions 242 to 246 by thermal annealing in the activation process performed here. . As a result, the impurity regions 242-246 are 1 × 10 17 ~ 1x10 19 atoms / cm Three About a catalytic element segregates (FIG. 11D).
[0065]
When the activation and hydrogenation steps are completed, a second conductive layer for forming a gate wiring is formed. This second conductive layer is formed of a conductive layer (D) whose main component is aluminum (Al) or copper (Cu), which is a low resistance material. In any case, the resistivity of the second conductive layer is about 0.1 to 10 μΩcm. Further, a conductive layer (E) made of titanium (Ti), tantalum (Ta), tungsten (W), or molybdenum (Mo) is preferably stacked. In this example, the conductive layer (D) 249 was formed using an aluminum (Al) film containing 0.1 to 2 wt% of titanium (Ti), and the titanium (Ti) film was formed as the conductive layer (E) 250. The conductive layer (D) 249 may be 200 to 400 nm (preferably 250 to 350 nm), and the conductive layer (E) 250 may be formed to 50 to 200 nm (preferably 100 to 150 nm) (FIG. 12A). ).
[0066]
Then, in order to form a gate wiring connected to the gate electrode, the conductive layer (E) 250 and the conductive layer (D) 249 are etched to form gate wirings 251 and 252 and a capacitor wiring 253. The etching process starts with SiCl Four And BCl Three By removing the conductive layer (D) from the surface of the conductive layer (E) by a dry etching method using a mixed gas, a gate wiring can be formed while maintaining selective processability with the base (FIG. 12 ( B)).
[0067]
The first interlayer insulating film 254 is formed of a silicon oxide film or a silicon oxynitride film with a thickness of 500 to 1500 nm. In this example, SiH Four 27SCCM, N 2 O is 900 SCCM, reaction pressure is 160 Pa, substrate temperature is 325 ° C., discharge power density is 0.15 W / cm 2 Formed with. Thereafter, contact holes reaching the source region or the drain region formed in each island-shaped semiconductor film are formed, and source wirings 255 to 258 and drain wirings 259 to 262 are formed. Although not shown, in this embodiment, this electrode is a laminated film having a three-layer structure in which a Ti film is formed to 100 nm, an aluminum film containing Ti is formed to 300 nm, and a Ti film is formed to 150 nm by sputtering.
[0068]
Next, a silicon nitride film, a silicon oxide film, or a silicon oxynitride film is formed as the passivation film 263 with a thickness of 50 to 500 nm (typically 100 to 300 nm). When the hydrogenation treatment is performed in this state, a favorable result can be obtained for improving the characteristics of the TFT. For example, heat treatment may be performed at 300 to 450 ° C. for 1 to 12 hours in an atmosphere containing 3 to 100% hydrogen, or the same effect can be obtained by using a plasma hydrogenation method. Further, by such heat treatment, hydrogen existing in the first interlayer insulating film 254 can be diffused into the island-shaped semiconductor films 209 to 212 to be hydrogenated. In any case, the defect density of the island-shaped semiconductor films 209 to 212 is 10 16 /cm Three It is desirable that the hydrogen content be as follows, and hydrogen may be added in an amount of about 0.01 to 0.1 atomic% (FIG. 12C). Note that an opening may be formed in the passivation film 263 at a position where a contact hole for connecting the pixel electrode and the drain wiring is formed later.
[0069]
Thereafter, as shown in FIG. 13, a second interlayer insulating film 264 made of an organic resin is formed to a thickness of 1.0 to 1.5 μm. As the organic resin, polyimide, acrylic, polyamide, polyimide amide, BCB (benzocyclobutene), or the like can be used. Here, after applying to the substrate, a thermal polymerization type polyimide is used and baked at 300 ° C. Then, a contact hole reaching the drain wiring 262 is formed in the second interlayer insulating film 264, and pixel electrodes 265 and 266 are formed. The pixel electrode may be a transparent conductive film in the case of a transmissive liquid crystal display device, and may be a metal film in the case of a reflective liquid crystal display device. In this embodiment, a transparent conductive film selected from an indium oxide / tin (ITO) film, a zinc oxide (ZnO) film, an indium oxide / tin / zinc oxide film, etc. The thickness is formed by sputtering.
[0070]
In this way, a substrate having the TFT of the driving circuit and the pixel TFT of the pixel portion on the same substrate was completed. A p-channel TFT 301, a first n-channel TFT 302, and a second n-channel TFT 303 are formed in the driver circuit, and a pixel TFT 304 and a storage capacitor 305 are formed in the pixel portion. In this specification, such a substrate is referred to as an active matrix substrate for convenience.
[0071]
The p-channel TFT 301 of the driver circuit includes a channel formation region 306, source regions 307a and 307b, and drain regions 308a and 308b in an island-shaped semiconductor film 209. The first n-channel TFT 302 includes a channel formation region 309, an LDD region (Lov) 310 that overlaps with the gate electrode 233, a source region 311, and a drain region 312 on the island-shaped semiconductor film 210. The length of the Lov region in the channel length direction is 0.5 to 3.0 μm, preferably 1.0 to 1.5 μm. In the second n-channel TFT 303, a channel formation region 313, a Lov region and an Loff region (an LDD region that does not overlap with the gate electrode, hereinafter referred to as an Loff region) are formed in the island-shaped semiconductor film 211. The length of the region in the channel length direction is 0.3 to 2.0 μm, preferably 0.5 to 1.5 μm. The pixel TFT 304 has channel formation regions 318 and 319, Loff regions 320 to 323, and source or drain regions 324 to 326 in the island-shaped semiconductor film 212. The length of the Loff region in the channel length direction is 0.5 to 3.0 μm, preferably 1.5 to 2.5 μm. Further, a storage capacitor 305 is formed from the capacitor wiring 253, an insulating film made of the same material as the gate insulating film, and a semiconductor film 327 connected to the drain region 326 of the pixel TFT 304 and doped with an impurity element imparting n-type conductivity. Has been. Although the pixel TFT 304 has a double gate structure in FIG. 13, it may have a single gate structure or a multi-gate structure provided with a plurality of gate electrodes.
[0072]
The configuration as described above makes it possible to optimize the structure of the TFT constituting each circuit according to the specifications required by the pixel TFT and the drive circuit, and to improve the operation performance and reliability of the semiconductor device. Furthermore, the LDD region, the source region, and the drain region can be easily activated by forming the gate electrode from a heat-resistant conductive material, and the wiring resistance can be sufficiently reduced by forming the gate electrode from a low-resistance material. Therefore, the present invention can be applied to a display device having a display area (screen size) of 4 inches class or more. A favorable TFT can be manufactured by using a crystalline silicon film having a single crystal structure that is selectively formed over the island-shaped insulating films 202 to 206 forming the base film.
[0073]
[Example 2]
In this embodiment, a process of manufacturing an active matrix liquid crystal display device from the active matrix substrate manufactured in Embodiment 1 will be described. An alignment film is formed on the active matrix substrate in the state of FIG. Usually, a polyimide resin is often used for the alignment film of the liquid crystal display element. A light shielding film 603, a transparent conductive film 604, and an alignment film 605 are formed on the counter substrate 602 on the counter side. After the alignment film was formed, rubbing treatment was performed so that the liquid crystal molecules were aligned with a certain pretilt angle. Then, the active matrix substrate on which the pixel portion and the CMOS circuit are formed and the counter substrate are bonded to each other through a sealing material, a spacer (both not shown) and the like by a known cell assembling process. Thereafter, a liquid crystal material 606 is injected between both substrates and completely sealed with a sealant (not shown). A known liquid crystal material may be used as the liquid crystal material. In this way, the active matrix liquid crystal display device shown in FIG. 14 is completed.
[0074]
Note that the active matrix liquid crystal display device of this example has been described with reference to the structure described in Example 1, but is not limited to the configuration of Example 1, and is described in Embodiments 1 to 3. An active matrix substrate completed by applying the configuration to the first embodiment may be used.
[0075]
[Example 3]
In this embodiment, drain current (ID) and gate voltage (n) of an n-channel TFT manufactured using a semiconductor film crystallized by laser annealing using an island-shaped insulating film as observed in FIG. VG) (hereinafter referred to as an ID-VG curve) and field effect mobility (μ FE ) Is shown in FIG. At this time, the source voltage (VS) was 0V, and the drain voltage (VD) was 1V or 5V. The measured values were a channel length (L) of 2 μm and a channel width (W) of 4 μm. In FIG. 25A, the thickness of the semiconductor film is 55 nm and the base step is 50 nm. FIG. 25B is a graph showing the result of the same measurement performed on an n-channel TFT manufactured using a semiconductor film that was laser-crystallized without a step without using the present invention for comparison. It is.
[0076]
The S value (value indicating the reciprocal of the maximum slope at the rising portion of the ID-VG curve) of the n-channel TFT manufactured using the present invention is 0.2 to 0.4 (V / V) when VG = 5V. decade), field effect mobility (μ FE ) Is 120 to 140 (cm when VG = 1V 2 (/ V · sec).
[0077]
From the above, it has been found that by using the technique of the present invention, a crystalline semiconductor film in which the position and size of crystal grains are controlled can be produced.
[0078]
Example 4
In addition to the nematic liquid crystal, various liquid crystals can be used for the above-described liquid crystal display device of the present invention. For example, 1998, SID, "Characteristics and Driving Scheme of Polymer-Stabilized Monostable FLCD Exhibiting Fast Response Time and High Contrast Ratio with Gray-Scale Capability" by H. Furue et al., 1997, SID DIGEST, 841, "A Full -Color Thresholdless Antiferroelectric LCD Exhibiting Wide Viewing Angle with Fast Response Time "by T. Yoshida et al., 1996, J. Mater. Chem. 6 (4), 671-673," Thresholdless antiferroelectricity in liquid crystals and its application to The liquid crystal disclosed in "displays" by S. Inui et al. or US Pat. No. 5,945,569 can be used.
[0079]
Using a ferroelectric liquid crystal (FLC) exhibiting an isotropic phase-cholesteric phase-chiral smectic C phase transition series, a cholesteric phase-chiral smectic C phase transition is applied while applying a DC voltage, and the cone edge is substantially in the rubbing direction. FIG. 15 shows the electro-optical characteristics of the matched monostable FLC. The display mode using the ferroelectric liquid crystal as shown in FIG. 15 is called “Half-V-shaped switching mode”. The vertical axis of the graph shown in FIG. 15 is the transmittance (arbitrary unit), and the horizontal axis is the applied voltage. Regarding “Half-V-shaped switching mode”, Terada et al., “Half-V-shaped switching mode FLCD”, Proceedings of the 46th Joint Physics Related Conference, March 1999, p. 1316, and Yoshihara et al. "Time-division full-color LCD using ferroelectric liquid crystal", Liquid Crystal, Vol. 3, No. 3, page 190.
[0080]
As shown in FIG. 15, it can be seen that when such a ferroelectric mixed liquid crystal is used, low voltage driving and gradation display are possible. In the liquid crystal display device of the present invention, a ferroelectric liquid crystal exhibiting such electro-optical characteristics can also be used.
[0081]
A liquid crystal exhibiting an antiferroelectric phase in a certain temperature range is called an antiferroelectric liquid crystal (AFLC). Among mixed liquid crystals having antiferroelectric liquid crystals, there is a so-called thresholdless antiferroelectric mixed liquid crystal that exhibits electro-optic response characteristics in which transmittance continuously changes with respect to an electric field. This thresholdless antiferroelectric mixed liquid crystal has a so-called V-shaped electro-optic response characteristic, and a drive voltage of about ± 2.5 V (cell thickness of about 1 μm to 2 μm) is also found. Has been.
[0082]
In general, the thresholdless antiferroelectric mixed liquid crystal has a large spontaneous polarization, and the dielectric constant of the liquid crystal itself is high. For this reason, when a thresholdless antiferroelectric mixed liquid crystal is used in a liquid crystal display device, a relatively large storage capacitor is required for the pixel. Therefore, it is preferable to use a thresholdless antiferroelectric mixed liquid crystal having a small spontaneous polarization.
[0083]
In addition, since such a thresholdless antiferroelectric mixed liquid crystal is used for the liquid crystal display device of the present invention, low voltage driving is realized, so that low power consumption is realized.
[0084]
Example 5
The CMOS circuit and the pixel portion formed by implementing the present invention can be used for various electro-optical devices (active matrix liquid crystal display, active matrix EL display, active matrix EC display). That is, the present invention can be implemented in all electronic devices in which these electro-optical devices are incorporated in a display unit.
[0085]
Such electronic devices include video cameras, digital cameras, projectors (rear or front type), head mounted displays (goggles type displays), personal computers, personal digital assistants (mobile computers, mobile phones, electronic books, etc.), etc. Is mentioned. Examples of these are shown in FIGS. 16, 17 and 18.
[0086]
FIG. 16A illustrates a personal computer, which includes a main body 2001, an image input portion 2002, a display portion 2003, a keyboard 2004, and the like. The present invention can be applied to the image input unit 2002, the display unit 2003, and other signal control circuits.
[0087]
FIG. 16B illustrates a video camera, which includes a main body 2101, a display portion 2102, an audio input portion 2103, operation switches 2104, a battery 2105, an image receiving portion 2106, and the like. The present invention can be applied to the display portion 2102 and other signal control circuits.
[0088]
FIG. 16C illustrates a mobile computer, which includes a main body 2201, a camera unit 2202, an image receiving unit 2203, operation switches 2204, a display unit 2205, and the like. The present invention can be applied to the display portion 2205 and other signal control circuits.
[0089]
FIG. 16D illustrates a goggle type display including a main body 2301, a display portion 2302, an arm portion 2303, and the like. The present invention can be applied to the display portion 2302 and other signal control circuits.
[0090]
FIG. 16E shows a player using a recording medium (hereinafter referred to as a recording medium) on which a program is recorded, and includes a main body 2401, a display portion 2402, a speaker portion 2403, a recording medium 2404, an operation switch 2405, and the like. This player uses a DVD (Digital Versatile Disc), CD, or the like as a recording medium, and can perform music appreciation, movie appreciation, games, and the Internet. The present invention can be applied to the display portion 2402 and other signal control circuits.
[0091]
FIG. 16F illustrates a digital camera, which includes a main body 2501, a display portion 2502, an eyepiece portion 2503, operation switches 2504, an image receiving portion (not shown), and the like. The present invention can be applied to the display portion 2502 and other signal control circuits.
[0092]
FIG. 17A illustrates a front projector, which includes a projection device 2601, a screen 2602, and the like. The present invention can be applied to the liquid crystal display device 2808 constituting a part of the projection device 2601 and other signal control circuits.
[0093]
FIG. 17B shows a rear projector, which includes a main body 2701, a projection device 2702, a mirror 2703, a screen 2704, and the like. The present invention can be applied to the liquid crystal display device 2808 constituting a part of the projection device 2702 and other signal control circuits.
[0094]
Note that FIG. 17C is a diagram illustrating an example of the structure of the projection devices 2601 and 2702 in FIGS. 17A and 17B. The projection devices 2601 and 2702 include a light source optical system 2801, mirrors 2802 and 2804 to 2806, a dichroic mirror 2803, a prism 2807, a liquid crystal display device 2808, a phase difference plate 2809, and a projection optical system 2810. Projection optical system 2810 includes an optical system including a projection lens. Although the present embodiment shows a three-plate type example, it is not particularly limited, and for example, a single-plate type may be used. In addition, the practitioner may appropriately provide an optical system such as an optical lens, a film having a polarizing function, a film for adjusting a phase difference, or an IR film in the optical path indicated by an arrow in FIG. Good.
[0095]
FIG. 17D illustrates an example of the structure of the light source optical system 2801 in FIG. In this embodiment, the light source optical system 2801 includes a reflector 2811, a light source 2812, lens arrays 2813 and 2814, a polarization conversion element 2815, and a condenser lens 2816. Note that the light source optical system illustrated in FIG. 17D is an example and is not particularly limited. For example, the practitioner may appropriately provide an optical system such as an optical lens, a film having a polarization function, a film for adjusting a phase difference, or an IR film in the light source optical system.
[0096]
However, the projector shown in FIG. 17 shows a case where a transmissive electro-optical device is used, and an application example in a reflective electro-optical device and an EL display device is not shown.
[0097]
FIG. 18A shows a cellular phone, which includes a main body 2901, an audio output portion 2902, an audio input portion 2903, a display portion 2904, operation switches 2905, an antenna 2906, and the like. The present invention can be applied to the audio output unit 2902, the audio input unit 2903, the display unit 2904, and other signal control circuits.
[0098]
FIG. 18B illustrates a portable book (electronic book) which includes a main body 3001, display portions 3002 and 3003, a storage medium 3004, operation switches 3005, an antenna 3006, and the like. The present invention can be applied to the display portions 3002 and 3003 and other signal circuits.
[0099]
FIG. 18C illustrates a display, which includes a main body 3101, a support base 3102, a display portion 3103, and the like. The present invention can be applied to the display portion 3103. The display of the present invention is particularly advantageous when the screen is enlarged, and is advantageous for displays having a diagonal of 10 inches or more (particularly 30 inches or more).
[0100]
As described above, the applicable range of the present invention is extremely wide and can be applied to electronic devices in various fields. Further, the electronic apparatus of the present example can be realized by using any combination of Embodiments 1 to 4 and Examples 1 to 3.
[0101]
Example 6
In this example, an example in which an EL (electroluminescence) display device is manufactured using the present invention will be described.
[0102]
FIG. 19A is a top view of an EL display device using the present invention. In FIG. 19A, reference numeral 4010 denotes a substrate, 4011 denotes a pixel portion, 4012 denotes a source side driver circuit, 4013 denotes a gate side driver circuit, and each driver circuit reaches an FPC 4017 through wirings 4014 to 4016 to an external device. Connected.
[0103]
At this time, a cover material 6000, a sealing material (also referred to as a housing material) 7000, and a sealing material (second sealing material) 7001 are provided so as to surround at least the pixel portion, preferably the drive circuit and the pixel portion.
[0104]
FIG. 19B shows a cross-sectional structure of the EL display device of this embodiment. A driver circuit TFT (here, an n-channel TFT and a p-channel TFT are combined on a substrate 4010 and a base film 4021). And the pixel portion TFT 4023 (however, only the TFT for controlling the current to the EL element is shown here).
[0105]
The present invention can be used for the driver circuit TFT 4022 and the pixel portion TFT 4023.
[0106]
When the driving circuit TFT 4022 and the pixel portion TFT 4023 are completed using the present invention, a transparent conductive film electrically connected to the drain of the pixel portion TFT 4023 is formed on the interlayer insulating film (planarization film) 4026 made of a resin material. A pixel electrode 4027 is formed. As the transparent conductive film, a compound of indium oxide and tin oxide (referred to as ITO) or a compound of indium oxide and zinc oxide can be used. Then, after the pixel electrode 4027 is formed, an insulating film 4028 is formed, and an opening is formed over the pixel electrode 4027.
[0107]
Next, an EL layer 4029 is formed. The EL layer 4029 may have a stacked structure or a single-layer structure by freely combining known EL materials (a hole injection layer, a hole transport layer, a light-emitting layer, an electron transport layer, or an electron injection layer). A known technique may be used to determine the structure. EL materials include low-molecular materials and high-molecular (polymer) materials. When a low molecular material is used, a vapor deposition method is used. When a high molecular material is used, a simple method such as a spin coating method, a printing method, or an ink jet method can be used.
[0108]
In this embodiment, the EL layer is formed by vapor deposition using a shadow mask. Color display is possible by forming a light emitting layer (a red light emitting layer, a green light emitting layer, and a blue light emitting layer) capable of emitting light having different wavelengths for each pixel using a shadow mask. In addition, there are a method in which a color conversion layer (CCM) and a color filter are combined, and a method in which a white light emitting layer and a color filter are combined, but either method may be used. Needless to say, an EL display device emitting monochromatic light can also be used.
[0109]
After the EL layer 4029 is formed, a cathode 4030 is formed thereon. It is desirable to remove moisture and oxygen present at the interface between the cathode 4030 and the EL layer 4029 as much as possible. Therefore, it is necessary to devise such that the EL layer 4029 and the cathode 4030 are continuously formed in a vacuum, or the EL layer 4029 is formed in an inert atmosphere and the cathode 4030 is formed without being released to the atmosphere. In this embodiment, the above-described film formation is possible by using a multi-chamber type (cluster tool type) film formation apparatus.
[0110]
In this embodiment, a stacked structure of a LiF (lithium fluoride) film and an Al (aluminum) film is used as the cathode 4030. Specifically, a 1 nm-thick LiF (lithium fluoride) film is formed on the EL layer 4029 by evaporation, and a 300 nm-thick aluminum film is formed thereon. Of course, you may use the MgAg electrode which is a well-known cathode material. The cathode 4030 is connected to the wiring 4016 in the region indicated by 4031. A wiring 4016 is a power supply line for applying a predetermined voltage to the cathode 4030, and is connected to the FPC 4017 through a conductive paste material 4032.
[0111]
In order to electrically connect the cathode 4030 and the wiring 4016 in the region indicated by 4031, it is necessary to form contact holes in the interlayer insulating film 4026 and the insulating film 4028. These may be formed when the interlayer insulating film 4026 is etched (when the pixel electrode contact hole is formed) or when the insulating film 4028 is etched (when the opening before the EL layer is formed). In addition, when the insulating film 4028 is etched, the interlayer insulating film 4026 may be etched all at once. In this case, if the interlayer insulating film 4026 and the insulating film 4028 are the same resin material, the shape of the contact hole can be improved.
[0112]
A passivation film 6003, a filler 6004, and a cover material 6000 are formed so as to cover the surface of the EL element thus formed.
[0113]
Further, a sealing material is provided inside the cover material 6000 and the substrate 4010 so as to surround the EL element portion, and a sealing material (second sealing material) 7001 is formed outside the sealing material 7000.
[0114]
At this time, the filler 6004 also functions as an adhesive for bonding the cover material 6000. As the filler 6004, PVC (polyvinyl chloride), epoxy resin, silicon resin, PVB (polyvinyl butyral), or EVA (ethylene vinyl acetate) can be used. It is preferable to provide a desiccant inside the filler 6004 because the moisture absorption effect can be maintained.
[0115]
In addition, a spacer may be included in the filler 6004. At this time, the spacer may be a granular material made of BaO or the like, and the spacer itself may be hygroscopic.
[0116]
In the case where a spacer is provided, the passivation film 6003 can relieve the spacer pressure. In addition to the passivation film, a resin film for relaxing the spacer pressure may be provided.
[0117]
As the cover material 6000, a glass plate, an aluminum plate, a stainless steel plate, an FRP (Fiberglass-Reinforced Plastics) plate, a PVF (polyvinyl fluoride) film, a mylar film, a polyester film, or an acrylic film can be used. Note that when PVB or EVA is used as the filler 6004, it is preferable to use a sheet having a structure in which an aluminum foil of several tens of μm is sandwiched between PVF films or Mylar films.
[0118]
However, the cover material 6000 needs to have translucency depending on the light emission direction (light emission direction) from the EL element.
[0119]
The wiring 4016 is electrically connected to the FPC 4017 through a gap between the sealing material 7000 and the sealing material 7001 and the substrate 4010. Note that although the wiring 4016 has been described here, the other wirings 4014 and 4015 are electrically connected to the FPC 4017 through the sealing material 7000 and the sealing material 7001 in the same manner.
[0120]
[Example 7]
In this embodiment, an example of manufacturing an EL display device having a different form from that of Embodiment 6 using the present invention will be described with reference to FIGS. 20A and 20B. The same numbers as those in FIGS. 19A and 19B indicate the same parts, and the description thereof is omitted.
[0121]
FIG. 20A is a top view of the EL display device of this embodiment, and FIG. 20B is a cross-sectional view taken along line AA ′ of FIG.
[0122]
According to the sixth embodiment, a passivation film 6003 is formed so as to cover the surface of the EL element.
[0123]
Further, a filler 6004 is provided so as to cover the EL element. The filler 6004 also functions as an adhesive for bonding the cover material 6000. As the filler 6004, PVC (polyvinyl chloride), epoxy resin, silicone resin, PVB (polyvinyl butyral) or EVA (ethylene vinyl acetate) can be used. It is preferable to provide a desiccant inside the filler 6004 because the moisture absorption effect can be maintained.
[0124]
In addition, a spacer may be included in the filler 6004. At this time, the spacer may be a granular material made of BaO or the like, and the spacer itself may be hygroscopic.
[0125]
In the case where a spacer is provided, the passivation film 6003 can relieve the spacer pressure. In addition to the passivation film, a resin film for relaxing the spacer pressure may be provided.
[0126]
As the cover material 6000, a glass plate, an aluminum plate, a stainless steel plate, an FRP (Fiberglass-Reinforced Plastics) plate, a PVF (polyvinyl fluoride) film, a mylar film, a polyester film, or an acrylic film can be used. Note that when PVB or EVA is used as the filler 6004, it is preferable to use a sheet having a structure in which an aluminum foil of several tens of μm is sandwiched between PVF films or Mylar films.
[0127]
However, the cover material 6000 needs to have translucency depending on the light emission direction (light emission direction) from the EL element.
[0128]
Next, after the cover material 6000 is bonded using the filler 6004, the frame material 6001 is attached so as to cover the side surface (exposed surface) of the filler 6004. The frame material 6001 is bonded by a sealing material (functioning as an adhesive) 6002. At this time, a photocurable resin is preferably used as the sealing material 6002, but a thermosetting resin may be used if the heat resistance of the EL layer permits. Note that the sealing material 6002 is desirably a material that does not transmit moisture and oxygen as much as possible. Further, a desiccant may be added inside the sealing material 6002.
[0129]
The wiring 4016 is electrically connected to the FPC 4017 through a gap between the sealing material 6002 and the substrate 4010. Note that although the wiring 4016 has been described here, the other wirings 4014 and 4015 are also electrically connected to the FPC 4017 under the sealing material 6002 in the same manner.
[0130]
[Example 8]
Here, FIG. 21 shows a more detailed cross-sectional structure of the pixel portion in the EL display panel, FIG. 22A shows a top structure, and FIG. 22B shows a circuit diagram. In FIG. 21, FIG. 22 (A), (B), since a common code | symbol is used, it should just mutually refer.
[0131]
In FIG. 21, a switching TFT 3502 provided over a substrate 3501 is formed using the NTFT of the present invention (see Embodiments 1 to 3 and Examples 1 and 2). However, the double gate structure substantially has a structure in which two TFTs are connected in series, and there is an advantage that the off-current value can be reduced. A double gate structure, a single gate structure, a triple gate structure, or a multi-gate structure having more gates may be adopted.
[0132]
The current control TFT 3503 is formed using the NTFT of the present invention. At this time, the drain wiring 35 of the switching TFT 3502 is electrically connected to the gate electrode 37 of the current control TFT by the wiring 36. A wiring indicated by 38 is a gate wiring for electrically connecting the gate electrodes 39a and 39b of the switching TFT 3502.
[0133]
At this time, it is very important that the current control TFT 3503 has the structure of the present invention. Since the current control TFT is an element for controlling the amount of current flowing through the EL element, a large amount of current flows, and it is also an element with a high risk of deterioration due to heat or hot carriers. Therefore, the structure of the present invention in which the LDD region is provided on the drain side of the current control TFT so as to overlap the gate electrode through the gate insulating film is extremely effective.
[0134]
In this embodiment, the current control TFT 3503 is illustrated as a single gate structure, but a multi-gate structure in which a plurality of TFTs are connected in series may be used. Further, a structure may be employed in which a plurality of TFTs are connected in parallel to substantially divide the channel formation region into a plurality of portions so that heat can be emitted with high efficiency. Such a structure is effective as a countermeasure against deterioration due to heat.
[0135]
As shown in FIG. 22A, the wiring that becomes the gate electrode 37 of the current control TFT 3503 overlaps the drain wiring 40 of the current control TFT 3503 with an insulating film in the region indicated by 3504. At this time, a capacitor is formed in a region indicated by 3504. This capacitor 3504 functions as a capacitor for holding the voltage applied to the gate of the current control TFT 3503. The drain wiring 40 is connected to a current supply line (power supply line) 3506, and a constant voltage is always applied.
[0136]
A first passivation film 41 is provided on the switching TFT 3502 and the current control TFT 3503, and a planarizing film 42 made of a resin insulating film is formed thereon. It is very important to flatten the step due to the TFT using the flattening film 42. Since an EL layer to be formed later is very thin, a light emission defect may occur due to the presence of a step. Therefore, it is desirable to planarize the pixel electrode before forming the pixel electrode so that the EL layer can be formed as flat as possible.
[0137]
Reference numeral 43 denotes a pixel electrode (EL element cathode) made of a highly reflective conductive film, which is electrically connected to the drain of the current control TFT 3503. As the pixel electrode 43, it is preferable to use a low-resistance conductive film such as an aluminum alloy film, a copper alloy film, or a silver alloy film, or a laminated film thereof. Of course, a laminated structure with another conductive film may be used.
[0138]
A light emitting layer 45 is formed in a groove (corresponding to a pixel) formed by banks 44a and 44b formed of an insulating film (preferably resin). Although only one pixel is shown here, a light emitting layer corresponding to each color of R (red), G (green), and B (blue) may be formed separately. A π-conjugated polymer material is used as the organic EL material for the light emitting layer. Typical polymer materials include polyparaphenylene vinylene (PPV), polyvinyl carbazole (PVK), and polyfluorene.
[0139]
There are various types of PPV organic EL materials such as “H. Shenk, H. Becker, O. Gelsen, E. Kluge, W. Kreuder, and H. Spreitzer,“ Polymers for Light Emitting ”. Materials such as those described in “Diodes”, Euro Display, Proceedings, 1999, p. 33-37 ”and Japanese Patent Laid-Open No. 10-92576 may be used.
[0140]
As a specific light emitting layer, cyanopolyphenylene vinylene may be used for a light emitting layer that emits red light, polyphenylene vinylene may be used for a light emitting layer that emits green light, and polyphenylene vinylene or polyalkylphenylene may be used for a light emitting layer that emits blue light. The film thickness may be 30 to 150 nm (preferably 40 to 100 nm).
[0141]
However, the above example is an example of an organic EL material that can be used as a light emitting layer, and is not necessarily limited to this. An EL layer (a layer for emitting light and moving carriers therefor) may be formed by freely combining a light-emitting layer, a charge transport layer, or a charge injection layer.
[0142]
For example, in this embodiment, an example in which a polymer material is used as the light emitting layer is shown, but a low molecular weight organic EL material may be used. It is also possible to use an inorganic material such as silicon carbide for the charge transport layer or the charge injection layer. As these organic EL materials and inorganic materials, known materials can be used.
[0143]
In this embodiment, the EL layer has a laminated structure in which a hole injection layer 46 made of PEDOT (polythiophene) or PAni (polyaniline) is provided on the light emitting layer 45. An anode 47 made of a transparent conductive film is provided on the hole injection layer 46. In the case of the present embodiment, since the light generated in the light emitting layer 45 is emitted toward the upper surface side (upward of the TFT), the anode must be translucent. As the transparent conductive film, a compound of indium oxide and tin oxide or a compound of indium oxide and zinc oxide can be used, but it is possible to form after forming a light-emitting layer or hole injection layer with low heat resistance. What can form into a film at low temperature as much as possible is preferable.
[0144]
When the anode 47 is formed, the EL element 3505 is completed. Note that the EL element 3505 here refers to a capacitor formed by the pixel electrode (cathode) 43, the light emitting layer 45, the hole injection layer 46, and the anode 47. As shown in FIG. 22A, since the pixel electrode 43 substantially matches the area of the pixel, the entire pixel functions as an EL element. Therefore, the use efficiency of light emission is very high, and a bright image display is possible.
[0145]
By the way, in the present embodiment, a second passivation film 48 is further provided on the anode 47. The second passivation film 48 is preferably a silicon nitride film or a silicon nitride oxide film. This purpose is to cut off the EL element from the outside, and has both the meaning of preventing deterioration due to oxidation of the organic EL material and the meaning of suppressing degassing from the organic EL material. This increases the reliability of the EL display device.
[0146]
As described above, the EL display panel of the present invention has a pixel portion composed of pixels having a structure as shown in FIG. 21, and includes a switching TFT having a sufficiently low off-current value and a current control TFT resistant to hot carrier injection. Have. Therefore, an EL display panel having high reliability and capable of displaying a good image can be obtained.
[0147]
In addition, the structure of a present Example can be implemented combining freely the structure of Embodiment 1-3, and Examples 1-4. Further, it is effective to use the EL display panel of this embodiment as the display unit of the electronic device of Embodiment 4.
[0148]
Example 9
In this embodiment, a structure in which the structure of the EL element 3505 is inverted in the pixel portion described in Embodiment 8 will be described. FIG. 23 is used for the description. Note that the only difference from the structure of FIG. 21 is the EL element portion and the current control TFT, and other descriptions are omitted.
[0149]
In FIG. 23, a current control TFT 3503 is formed using the PTFT of the present invention. For the manufacturing process, Embodiments 1 to 3 and Examples 1 to 4 may be referred to.
[0150]
In this embodiment, a transparent conductive film is used as the pixel electrode (anode) 50. Specifically, a conductive film made of a compound of indium oxide and zinc oxide is used. Of course, a conductive film made of a compound of indium oxide and tin oxide may be used.
[0151]
Then, after banks 51a and 51b made of insulating films are formed, a light emitting layer 52 made of polyvinylcarbazole is formed by solution coating. An electron injection layer 53 made of potassium acetylacetonate (denoted as acacK) and a cathode 54 made of an aluminum alloy are formed thereon. In this case, the cathode 54 also functions as a passivation film. Thus, an EL element 3701 is formed.
[0152]
In the case of the present embodiment, the light generated in the light emitting layer 52 is emitted toward the substrate on which the TFT is formed, as indicated by the arrows.
[0153]
In addition, the structure of a present Example can be implemented combining freely with the structure of Embodiment 1-3, and Examples 1-4. In addition, it is effective to use the EL display panel of this embodiment as the display unit of the electronic device of Embodiment 5.
[0154]
Example 10
In this embodiment, FIGS. 24A to 24C show an example of a pixel having a structure different from the circuit diagram shown in FIG. In this embodiment, 3801 is a source wiring of the switching TFT 3802, 3803 is a gate wiring of the switching TFT 3802, 3804 is a current control TFT, 3805 is a capacitor, 3806 and 3808 are current supply lines, and 3807 is an EL element. .
[0155]
FIG. 24A shows an example in which the current supply line 3806 is shared between two pixels. In other words, the two pixels are formed so as to be symmetrical about the current supply line 3806. In this case, since the number of power supply lines can be reduced, the pixel portion can be further refined.
[0156]
FIG. 24B illustrates an example in which the current supply line 3808 is provided in parallel with the gate wiring 3803. Note that in FIG. 24B, the current supply line 3808 and the gate wiring 3803 are provided so as not to overlap with each other. However, if the wirings are formed in different layers, they overlap with each other through an insulating film. It can also be provided. In this case, since the exclusive area can be shared by the power supply line 3808 and the gate wiring 3803, the pixel portion can be further refined.
[0157]
In FIG. 24C, a current supply line 3808 is provided in parallel with the gate wiring 3803 as in the structure of FIG. 24B, and two pixels are symmetrical with respect to the current supply line 3808. It is characterized in that it is formed. It is also effective to provide the current supply line 3808 so as to overlap with any one of the gate wirings 3803. In this case, since the number of power supply lines can be reduced, the pixel portion can be further refined.
[0158]
In addition, the structure of a present Example can be implemented in combination freely with the structure of Embodiment 1-2, Example 1-4. Further, it is effective to use the EL display panel having the pixel structure of this embodiment as the display portion of the electronic device of Embodiment 5.
[0159]
[Example 11]
22A and 22B shown in Embodiment 8, the capacitor 3504 is provided to hold the voltage applied to the gate of the current control TFT 3503. However, the capacitor 3504 may be omitted. In the case of Example 7, since the NTFT of the present invention as shown in Embodiments 1 and 2 and Examples 1 to 4 is used as the current control TFT 3503, it is provided so as to overlap the gate electrode through the gate insulating film. LDD region. A parasitic capacitance generally called a gate capacitance is formed in the overlapped region, but this embodiment is characterized in that this parasitic capacitance is positively used in place of the capacitor 3504.
[0160]
Since the capacitance of the parasitic capacitance varies depending on the area where the gate electrode and the LDD region overlap, the capacitance of the parasitic capacitance is determined by the length of the LDD region included in the overlapping region.
[0161]
Similarly, in the structure of FIGS. 24A, 24B, and 24C shown in the tenth embodiment, the capacitor 3805 can be omitted.
[0162]
In addition, the structure of a present Example can be implemented in combination freely with the structure of Embodiment 1-2, Example 1-4. Further, it is effective to use the EL display panel having the pixel structure of this embodiment as the display portion of the electronic device of Embodiment 5.
[0163]
【The invention's effect】
By using the technique of the present invention, a crystalline semiconductor film in which the position and size of crystal grains are controlled can be manufactured. By forming the crystal grain position of such a crystalline semiconductor film in accordance with the channel formation region of the TFT, the static characteristics and dynamic characteristics of the TFT can be dramatically improved.
[Brief description of the drawings]
FIG. 1 is a diagram illustrating a crystallization process of the present invention.
FIG. 2 is a diagram illustrating a crystallization process of the present invention.
FIG. 3 is a diagram illustrating a crystallization process of the present invention.
FIG. 4 is a diagram showing an example of an embodiment of the present invention.
FIG. 5 is a diagram showing a result of thermal analysis simulation.
FIG. 6 is a top view showing the shape of an island-like insulating film.
FIG. 7 is a diagram showing an observation result of crystallization by SEM.
FIG. 8 is a diagram showing an observation result of crystallization by SEM.
FIGS. 9A and 9B illustrate a manufacturing process of a TFT in a pixel portion and a driver circuit portion. FIGS.
FIGS. 10A and 10B are diagrams illustrating a manufacturing process of a TFT in a pixel portion and a driver circuit portion. FIGS.
FIGS. 11A and 11B illustrate a manufacturing process of a TFT in a pixel portion and a driver circuit portion. FIGS.
FIGS. 12A and 12B illustrate a manufacturing process of a TFT in a pixel portion and a driver circuit portion. FIGS.
FIGS. 13A and 13B are diagrams illustrating a manufacturing process of a TFT in a pixel portion and a driver circuit portion. FIGS.
FIG 14 illustrates a structure of a liquid crystal display device.
FIG. 15 is a diagram showing an example of light transmittance characteristics of an antiferroelectric mixed liquid crystal.
FIG 16 illustrates an example of a semiconductor device.
FIG 17 illustrates an example of a semiconductor device.
FIG 18 illustrates an example of a semiconductor device.
FIG. 19 illustrates a structure of an EL display device.
FIG. 20 illustrates a structure of an EL display device.
FIG. 21 illustrates a structure of an EL display device.
FIG 22 illustrates a structure of an EL display device.
FIG 23 illustrates a structure of an EL display device.
FIG 24 illustrates a structure of an EL display device.
FIG. 25 is a graph showing characteristics of a TFT.
FIG. 26 is a diagram showing an observation result of crystallization by SEM.

Claims (11)

基板上に、前記基板の表面に対して側面の角度が5°以上50°未満である島状の絶縁膜を形成する工程と、
前記島状の絶縁膜上に下地絶縁膜を形成する工程と、
前記下地絶縁膜上に半導体膜を形成する工程と、
前記島状の絶縁膜を覆うように前記半導体膜をエッチングし島状半導体膜を形成する工程と、
前記島状半導体膜をレーザ光により結晶化する工程と、
前記島状半導体膜上にゲート絶縁膜を形成する工程と、
前記ゲート絶縁膜上にゲート電極を形成する工程とを有することを特徴とする半導体装置の作製方法。
Forming an island-shaped insulating film having a side surface angle of 5 ° or more and less than 50 ° with respect to the surface of the substrate ;
Forming a base insulating film on the island-shaped insulating film;
Forming a semiconductor film on the base insulating film;
Etching the semiconductor film to cover the island-shaped insulating film to form an island- shaped semiconductor film ;
A step of crystallizing with a laser beam the island-shaped semiconductor film,
Forming a gate insulating film on the island-shaped semiconductor film;
And a step of forming a gate electrode over the gate insulating film.
請求項1において、前記レーザ光により結晶化する工程は、前記島状半導体膜の表面及び裏面の両面にレーザ光を照射することにより行われることを特徴とする半導体装置の作製方法。2. The method for manufacturing a semiconductor device according to claim 1, wherein the step of crystallizing with the laser light is performed by irradiating the front surface and the back surface of the island-shaped semiconductor film with laser light. 基板上に島状の絶縁膜を形成する工程と、
前記島状の絶縁膜上に下地絶縁膜を形成する工程と、
前記下地絶縁膜上に半導体膜を形成する工程と、
前記島状の絶縁膜を覆うように前記半導体膜をエッチングし島状半導体膜を形成する工程と、
前記島状半導体膜の表面及び裏面の両面にレーザ光を照射することにより結晶化する工程と、
前記島状半導体膜上にゲート絶縁膜を形成する工程と、
前記ゲート絶縁膜上にゲート電極を形成する工程とを有することを特徴とする半導体装置の作製方法。
Forming an island-shaped insulating film on the substrate;
Forming a base insulating film on the island-shaped insulating film;
Forming a semiconductor film on the base insulating film;
Etching the semiconductor film to cover the island-shaped insulating film to form an island- shaped semiconductor film ;
A step of crystallization by irradiating a laser beam on both sides of the front and back surfaces of the island-shaped semiconductor film,
Forming a gate insulating film on the island-shaped semiconductor film;
And a step of forming a gate electrode over the gate insulating film.
請求項1乃至請求項3のいずれか一項において、前記島状の絶縁膜および前記下地絶縁膜は同一の材料を用いることを特徴とする半導体装置の作製方法。4. The method for manufacturing a semiconductor device according to claim 1, wherein the island-shaped insulating film and the base insulating film are formed using the same material. 基板上に島状の絶縁膜を形成する工程と、
前記島状の絶縁膜上に前記島状の絶縁膜と同一の材料の下地絶縁膜を形成する工程と、
前記下地絶縁膜上に半導体膜を形成する工程と、
前記島状の絶縁膜を覆うように前記半導体膜をエッチングし島状半導体膜を形成する工程と、
前記島状半導体膜をレーザ光により結晶化する工程と、
前記島状半導体膜上にゲート絶縁膜を形成する工程と、
前記ゲート絶縁膜上にゲート電極を形成する工程とを有することを特徴とする半導体装置の作製方法。
Forming an island-shaped insulating film on the substrate;
Forming a base insulating film of the same material as the island-shaped insulating film on the island-shaped insulating film ;
Forming a semiconductor film on the base insulating film;
Etching the semiconductor film to cover the island-shaped insulating film to form an island- shaped semiconductor film ;
A step of crystallizing with a laser beam the island-shaped semiconductor film,
Forming a gate insulating film on the island-shaped semiconductor film;
And a step of forming a gate electrode over the gate insulating film.
基板上に下地絶縁膜を形成する工程と、Forming a base insulating film on the substrate;
前記下地絶縁膜上に、前記基板の表面に対して側面の角度が5°以上50°未満である島状の絶縁膜を形成する工程と、Forming an island-shaped insulating film having an angle of a side surface of 5 ° or more and less than 50 ° with respect to the surface of the substrate on the base insulating film;
前記下地絶縁膜上および前記島状の絶縁膜上に半導体膜を形成する工程と、Forming a semiconductor film on the base insulating film and the island-shaped insulating film;
前記島状の絶縁膜を覆うように前記半導体膜をエッチングし島状半導体膜を形成する工程と、Etching the semiconductor film to cover the island-shaped insulating film to form an island-shaped semiconductor film;
前記島状半導体膜をレーザ光により結晶化する工程と、Crystallization of the island-shaped semiconductor film with laser light;
前記島状半導体膜上にゲート絶縁膜を形成する工程と、Forming a gate insulating film on the island-shaped semiconductor film;
前記ゲート絶縁膜上にゲート電極を形成する工程とを有することを特徴とする半導体装置の作製方法。And a step of forming a gate electrode over the gate insulating film.
請求項6において、前記レーザ光により結晶化する工程は、前記島状半導体膜の表面及び裏面の両面にレーザ光を照射することにより行われることを特徴とする半導体装置の作製方法。7. The method for manufacturing a semiconductor device according to claim 6, wherein the step of crystallizing with the laser light is performed by irradiating both the front surface and the back surface of the island-shaped semiconductor film with laser light. 基板上に下地絶縁膜を形成する工程と、
前記下地絶縁膜に島状の絶縁膜を形成する工程と、
前記下地絶縁膜上および前記島状の絶縁膜上に半導体膜を形成する工程と、
前記島状の絶縁膜を覆うように前記半導体膜をエッチングし島状半導体膜を形成する工程と、
前記島状半導体膜の表面及び裏面の両面にレーザ光を照射することにより結晶化する工程と、
前記島状半導体膜にゲート絶縁膜を形成する工程と、
前記ゲート絶縁膜上にゲート電極を形成する工程とを有することを特徴とする半導体装置の作製方法。
Forming a base insulating film on the substrate;
Forming an island-shaped insulating film on the base insulating film ;
Forming a semiconductor film on the base insulating film and the island-shaped insulating film ;
Etching the semiconductor film to cover the island-shaped insulating film to form an island- shaped semiconductor film ;
A step of crystallization by irradiating a laser beam on both sides of the front and back surfaces of the island-shaped semiconductor film,
Forming a gate insulating film on the island-shaped semiconductor film ;
And a step of forming a gate electrode over the gate insulating film .
請求項6乃至請求項8のいずれか一項において、前記島状の絶縁膜および前記下地絶縁膜は同一の材料を用いることを特徴とする半導体装置の作製方法。9. The method for manufacturing a semiconductor device according to claim 6, wherein the island-shaped insulating film and the base insulating film are formed using the same material. 基板上に下地絶縁膜を形成する工程と、
前記下地絶縁膜前記下地絶縁膜と同一の材料の島状の絶縁膜を形成する工程と、
前記下地絶縁膜および前記島状の絶縁膜上に半導体膜を形成する工程と、
前記島状の絶縁膜を覆うように前記半導体膜をエッチングし島状半導体膜を形成する工程と、
前記島状半導体膜をレーザ光により結晶化する工程と、
前記島状半導体膜にゲート絶縁膜を形成する工程と、
前記ゲート絶縁膜上にゲート電極を形成する工程とを有することを特徴とする半導体装置の作製方法。
Forming a base insulating film on the substrate;
Forming an island-shaped insulating film of the same material as the base insulating film on the base insulating film ;
Forming a semiconductor film on the base insulating film and the island-shaped insulating film ;
Etching the semiconductor film to cover the island-shaped insulating film to form an island- shaped semiconductor film ;
A step of crystallizing with a laser beam the island-shaped semiconductor film,
Forming a gate insulating film on the island-shaped semiconductor film ;
And a step of forming a gate electrode over the gate insulating film .
請求項乃至請求項10のいずれか一項において、前記基板は、透光性を有することを特徴とする半導体装置の作製方法。In any one of claims 1 to 10, wherein the substrate is a method for manufacturing a semiconductor device, characterized in that a light-transmitting.
JP2000370961A 1999-12-10 2000-12-06 Method for manufacturing semiconductor device Expired - Fee Related JP4776773B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2000370961A JP4776773B2 (en) 1999-12-10 2000-12-06 Method for manufacturing semiconductor device

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP11-351060 1999-12-10
JP1999351060 1999-12-10
JP35106099 1999-12-10
JP2000370961A JP4776773B2 (en) 1999-12-10 2000-12-06 Method for manufacturing semiconductor device

Publications (3)

Publication Number Publication Date
JP2001230420A JP2001230420A (en) 2001-08-24
JP2001230420A5 JP2001230420A5 (en) 2007-12-20
JP4776773B2 true JP4776773B2 (en) 2011-09-21

Family

ID=26579315

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000370961A Expired - Fee Related JP4776773B2 (en) 1999-12-10 2000-12-06 Method for manufacturing semiconductor device

Country Status (1)

Country Link
JP (1) JP4776773B2 (en)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3810725B2 (en) * 2001-09-21 2006-08-16 株式会社半導体エネルギー研究所 LIGHT EMITTING DEVICE AND ELECTRONIC DEVICE
JP4141307B2 (en) * 2002-03-15 2008-08-27 株式会社半導体エネルギー研究所 Method for manufacturing semiconductor device
JP2004087682A (en) * 2002-08-26 2004-03-18 Chi Mei Electronics Corp Thin-film transistor, image display element, and image display device
KR100749478B1 (en) * 2006-11-21 2007-08-14 삼성에스디아이 주식회사 Solid phase crystallization apparatus and method of manufacturing thin film transistor
JP2008252068A (en) * 2007-03-08 2008-10-16 Semiconductor Energy Lab Co Ltd Semiconductor device and method for manufacturing same
JP5371144B2 (en) 2007-06-29 2013-12-18 株式会社半導体エネルギー研究所 Semiconductor device, method for manufacturing semiconductor device, and electronic device
JP5959296B2 (en) * 2011-05-13 2016-08-02 株式会社半導体エネルギー研究所 Semiconductor device and manufacturing method thereof

Also Published As

Publication number Publication date
JP2001230420A (en) 2001-08-24

Similar Documents

Publication Publication Date Title
US6653657B2 (en) Semiconductor device and a method of manufacturing the same
US6410368B1 (en) Method of manufacturing a semiconductor device with TFT
US6936844B1 (en) Semiconductor device having a gate wiring comprising laminated wirings
US6380007B1 (en) Semiconductor device and manufacturing method of the same
JP5483763B2 (en) Liquid crystal display
US7700947B2 (en) Semiconductor device
JP4583529B2 (en) Semiconductor device and manufacturing method thereof
JP3977974B2 (en) Semiconductor device
JP4801238B2 (en) Method for manufacturing semiconductor device
JP4776773B2 (en) Method for manufacturing semiconductor device
JP4531177B2 (en) Method for manufacturing semiconductor device
JP4519278B2 (en) Method for manufacturing semiconductor device
JP4986332B2 (en) Method for manufacturing semiconductor device
JP3859915B2 (en) Method for manufacturing semiconductor device
JP3978145B2 (en) Method for manufacturing semiconductor device
JP4896286B2 (en) Method for manufacturing semiconductor device
JP5244274B2 (en) Method for manufacturing semiconductor device
JP4583716B2 (en) Semiconductor device
JP2001290171A (en) Semiconductor device and manufacturing method thereof
JP4463374B2 (en) Method for manufacturing semiconductor device
JP4712156B2 (en) Method for manufacturing semiconductor device
JP4776767B2 (en) Method for manufacturing semiconductor device
JP4683710B2 (en) Liquid crystal display device, EL display device and electronic apparatus
JP4641586B2 (en) Method for manufacturing semiconductor device
JP4472082B2 (en) Method for manufacturing semiconductor device

Legal Events

Date Code Title Description
A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071017

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20071017

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20101201

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110201

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110331

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20110621

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20110629

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140708

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140708

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees