JP4536946B2 - 周波数シンセサイザ - Google Patents

周波数シンセサイザ Download PDF

Info

Publication number
JP4536946B2
JP4536946B2 JP2001058395A JP2001058395A JP4536946B2 JP 4536946 B2 JP4536946 B2 JP 4536946B2 JP 2001058395 A JP2001058395 A JP 2001058395A JP 2001058395 A JP2001058395 A JP 2001058395A JP 4536946 B2 JP4536946 B2 JP 4536946B2
Authority
JP
Japan
Prior art keywords
signal generator
digital signal
frequency
output
rom
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2001058395A
Other languages
English (en)
Other versions
JP2002271143A (ja
Inventor
孝彦 岸
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Priority to JP2001058395A priority Critical patent/JP4536946B2/ja
Priority to KR10-2002-0007187A priority patent/KR100424652B1/ko
Priority to US10/085,825 priority patent/US6664819B2/en
Publication of JP2002271143A publication Critical patent/JP2002271143A/ja
Application granted granted Critical
Publication of JP4536946B2 publication Critical patent/JP4536946B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/02Digital function generators
    • G06F1/03Digital function generators working, at least partly, by table look-up
    • G06F1/035Reduction of table size
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03LAUTOMATIC CONTROL, STARTING, SYNCHRONISATION OR STABILISATION OF GENERATORS OF ELECTRONIC OSCILLATIONS OR PULSES
    • H03L7/00Automatic control of frequency or phase; Synchronisation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/02Digital function generators
    • G06F1/03Digital function generators working, at least partly, by table look-up
    • G06F1/0321Waveform generators, i.e. devices for generating periodical functions of time, e.g. direct digital synthesizers
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03BGENERATION OF OSCILLATIONS, DIRECTLY OR BY FREQUENCY-CHANGING, BY CIRCUITS EMPLOYING ACTIVE ELEMENTS WHICH OPERATE IN A NON-SWITCHING MANNER; GENERATION OF NOISE BY SUCH CIRCUITS
    • H03B21/00Generation of oscillations by combining unmodulated signals of different frequencies
    • H03B21/01Generation of oscillations by combining unmodulated signals of different frequencies by beating unmodulated signals of different frequencies
    • H03B21/02Generation of oscillations by combining unmodulated signals of different frequencies by beating unmodulated signals of different frequencies by plural beating, i.e. for frequency synthesis ; Beating in combination with multiplication or division of frequency

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Stabilization Of Oscillater, Synchronisation, Frequency Synthesizers (AREA)

Description

【0001】
【発明の属する技術分野】
この発明は、ディジタル信号処理により正弦波(余弦波)を発生する周波数シンセサイザに関し、特にスプリアス低減を実現する周波数シンセサイザに関する。
【0002】
【従来の技術】
従来、例えばダイレクトディジタルシンセサイザ(DDS:Direct Digital Synthesizer)において、演算処理によって発生するスプリアスは、DDSを受信機のローカル信号に用いた場合、隣接チャンネル妨害特性やバンド外からの妨害特性を悪化させるという問題が生じる。同様に、DDSを送信機のローカル信号に用いた場合、近接周波数へ妨害を与えるという問題が生じる。
図6は、DDSにおけるスプリアスの発生原理を示した図である。DDSにおける演算精度不足によるスプリアス発生原因は、位相演算部を形成する加算器71と位相レジスタ72における演算語長iと、位相データを振幅データに変換するROM73のアドレス長kとの差による位相再量子化誤差ep 、及びROM73出力ビットの振幅量子化誤差ea によるものであって、図7に示すように、スプリアスは希望信号の周波数fcに対して一様な分布となる。
【0003】
DDSの演算精度向上としてj=kとすれば位相誤差によるスプリアスは発生せず、またROMの出力データ幅mを十分に大きく取れば振幅誤差によるスプリアスも問題の無いレベルとすることが可能である。
しかし、位相演算部の演算語長iとROMアドレス長kとを同じにする場合、ROMのサイズはアドレス長を1bit長くする毎に回路規模が2倍となるので、演算語長が長いときには実現困難である。そこで、少ないROMサイズで等価的に大きなROMサイズを得る方法として、三角関数の加法定理を用いる方法が提案されている。
【0004】
例えば、”j0”bitの周波数設定データFに対して、F=A+Bなる関係の周波数設定データFのMSB側”j1”bitのデータをA、周波数設定データFのj1から見てLSB側に位置する残りの”j2”bitのデータをBとしたとき、以下の式の関係よりcos/sinを求める。すなわち、
cos(F)=cos(A+B)=cosA・cosB−sinA・sinB
sin(F)=sin(A+B)=sinA・cosB+cosA・sinB
により、周波数設定データFは、周波数設定データAと周波数設定データBとの合成により生成することができる。
【0005】
従って、周波数設定データFが例えば16bitのときに、ROMの出力データ幅mを1bitとしても、
(1)cos(F)用:2の16乗=65536word
(2)sin(F)用:2の16乗=65536word
=64kword×2だけ必要だったROMの容量が、A、Bそれぞれ8bitに分割すると、ROM1個あたりの容量が65536wordの平方根=256wordとなり、
(1)cos(A)用:256word
(2)sin(A)用:256word
(3)cos(B)用:256word
(4)sin(B)用:256word
=256word×4となり、従来のDDSに比較してROMサイズが1/128で済むことになる。
【0006】
図8は、三角関数の加法定理を用いる方法によるDDSの実現例である。
図8において、位相の変化幅ΔΦで表された周波数設定データFが”j0”bitで入力されると、位相演算部を形成する加算器51と位相レジスタ52により、累積加算されて位相データFfとなる。
”j0”bitの位相データFfは、MSB側から”j1”bitの位相データAfと”j2”bitの位相データBfに分割され、分割されたMSB側の”j1”bitの内の”k1”bitは、位相データを振幅データに変換するテーブルが記録された上述のcos(A)用ROM−A53と、sin(A)用ROM−B54に、アドレス信号として入力され、ROM−A53とROM−B54の出力には、”m”bit幅の振幅データが順次出力される。ここで、ROM−A53とROM−B54は、それぞれ位相データFfのMSB側”j1”bitに対応する周波数の余弦波と正弦波を量子化して記録したROMである。
【0007】
一方、”j0”bitの位相データのj1から見てLSB側に位置する残りの”j2”bitの内の”k2”bitは、位相データを振幅データに変換するテーブルが記録された上述のcos(B)用ROM−C55と、sin(B)用ROM−D56に、アドレス信号として入力され、ROM−C55とROM−D56の出力には、”m”bit幅の振幅データが順次出力される。ここで、ROM−C55とROM−D55は、それぞれ位相データFfの残りの”j2”bitに対応する周波数の余弦波と正弦波を量子化して記録したROMである。
【0008】
ROM−A53とROM−B54、及びROM−C55とROM−D56の”m”bitの振幅データ出力は、複素ミキサ57により合成されて周波数シンセサイザの出力cos(n)、及びsin(n)として出力される。なお、複素ミキサ57は、実数軸側出力信号を計算するための実数軸側入力T1、T3同士を乗算する乗算器58と虚数軸側入力T2、T4同士を乗算する乗算器59、及び乗算器58の出力と乗算器59の出力とを合成する減算器60と、虚数軸側出力信号を計算するための一方の実数軸側入力T1と虚数軸側入力T4を乗算する乗算器61ともう一方の実数軸側入力T3と虚数軸側入力T2を乗算する乗算器62、及び乗算器61の出力と乗算器62の出力とを合成する加算器63とから構成されており、ROM−A53の出力は、複素ミキサ57のT1端子、ROM−B54の出力は、複素ミキサ57のT2端子、ROM−C55の出力は、複素ミキサ57のT3端子、ROM−D56の出力は、複素ミキサ57のT4端子にそれぞれ接続されている。
従って、周波数シンセサイザの出力には、周波数設定データF(=A+B)に対応する周波数のキャリア信号を得る。
【0009】
【発明が解決しようとする課題】
しかし、上述の周波数設定データFが32bit必要となれば、2の32乗=4294967296word、4294967296wordの平方根=65536wordであり、周波数設定データFをデータA、データBに分割したとしても64kword×4のROMが必要となり、高速な演算が要求されるDDSでは、一部の用途を除いて実現は現実的でなくなってしまう。
このように、希望の周波数設定データFの演算語長が長くなると、周波数設定データAを振幅データに変換するROMのアドレス長k1と、周波数設定データBを振幅データに変換するROMのアドレス長k2を、それぞれj1=k1、j2=k2とすることが困難になるので、結局j1>k1、j2>k2となる。
この場合、周波数を分割しない場合よりはROMサイズを小さく出来ることで発生する誤差自体を小さくすることは出来るが、周波数設定データA側、周波数設定データB側のそれぞれに位相誤差が生じ、スプリアスの発生は避けられない。
特に、DDSのスプリアスは図7に示すごとく一様な分布をするために、無線機のローカル信号発生器として利用したとき、受信機においては広範囲から妨害を受け、送信機においては広範囲へ妨害を与えることになる。これは、アナログ発振器のスプリアスが、信号近傍のC/Nは悪くても、離れた点においては通常問題の無いレベルに下がることと比較すると対称的である。
【0010】
本発明は、上記問題点に鑑みてなされたもので、DDSの回路規模(ROMサイズ)を大きくすること無く、DDS固有の一様に分布するスプリアスを改善する周波数シンセサイザを提供することを目的とする。
【0011】
【課題を解決するための手段】
上記の課題を解決するために、請求項1に記載の発明は、量子化された任意の周波数の信号を発生する第1のディジタル信号発生器(例えば実施の形態の加算器11と位相レジスタ12、及びROM−A13とROM−B14)と、第1のディジタル信号発生器より、周波数分解度が細かくスプリアスの多い第2のディジタル信号発生器(例えば実施の形態の加算器16と位相レジスタ17、及びROM−C18とROMD−19)と、第2のディジタル信号発生器の出力に帯域制限を行うフィルタと、第1のディジタル信号発生器の出力と、フィルタの出力を合成するミキサ(例えば実施の形態の複素ミキサ57)とを設けたことを特徴とする。
以上の構成により、第2のディジタル信号発生器の出力のスプリアスをフィルタにより除去することを可能とする。
【0012】
請求項2に記載の発明は、請求項1に記載の周波数シンセサイザにおいて、与えられた周波数設定データ長がXビット(Xは整数)のとき、第1のディジタル信号発生器は、与えられた周波数設定データを累積加算後のMSB側のYビット(Yは整数)の位相データに対応する周波数信号を発生し、第2のディジタル信号発生器は、与えられた周波数設定データのLSB側のZビット(Z=X−Y)を有効とするXビットの信号を累積加算した位相データに対応する周波数信号を発生することを特徴とする。
以上の構成により、与えられた周波数設定データより2つの位相データを分割して生成し、ディジタル信号発生器に要求される広範囲な周波数可変幅と少ないスプリアスという相反する性能を個々の位相データに対応するディジタル信号発生器に分割することを可能とする。
【0013】
請求項3に記載の発明は、請求項1、または請求項2に記載の周波数シンセサイザにおいて、第1のディジタル信号発生器は、第2のディジタル信号発生器の出力サンプリング周波数より、出力サンプリング周波数を高く設定し、第2のディジタル信号発生器の出力サンプリング周波数を、第1のディジタル信号発生器の出力サンプリング周波数に一致させるインタポレーションフィルタを設け、ミキサにより第1のディジタル信号発生器の出力と合成することを特徴とする。
以上の構成により、第1の信号発生器と第2の信号発生器のサンプリング周波数比だけ、第2の信号発生器側の動作速度を第1の信号発生器側の動作速度より下げて実行することを可能とする。
【0014】
請求項4に記載の発明は、請求項3に記載の周波数シンセサイザにおいて、与えられた周波数設定データ長がXビット、インタポレーション比がN(Nは整数)のとき、第1のディジタル信号発生器は、与えられた周波数設定データを累積加算後のMSB側のYビット(Yは整数)の位相データに対応する周波数信号を発生し、第2のディジタル信号発生器は、与えられた周波数設定データのLSB側のZビット(Z=X−Y)を有効とするKビット(K=X−log2N)のデータをN倍し、更にこれを累積加算した位相データに対応する周波数信号を発生することを特徴とする。
以上の構成により、第1の信号発生器と第2の信号発生器のサンプリング周波数比Nだけ、第2の信号発生器側の動作速度を第1の信号発生器側の動作速度より下げて実行し、これを位相データで調整することを可能とする。
【0015】
請求項5に記載の発明は、請求項4に記載の周波数シンセサイザにおいて、インタポレーション比Nが正かつ2のべき乗のとき、第2のディジタル信号発生器は、与えられた周波数設定データのLSB側のZビット(Z=X−Y)を有効とするKビット(K=X−log2N)のデータを、log2NビットだけMSB側へシフトし、更にこれを累積加算した位相データに対応する周波数信号を発生することを特徴とする。
以上の構成により、乗算器の代わりにビットシフトで計算し、回路を省略することを可能とする。
【0016】
請求項6に記載の発明は、請求項1から請求項5のいずれかに記載の周波数シンセサイザにおいて、ディジタル信号発生器は、与えられた周波数設定データ長が0ビットのとき、位相、及び振幅が一定の信号を出力することを特徴とする。
以上の構成により、必要のないディジタル信号発生器は動作を停止させることを可能とする。
【0017】
請求項7に記載の発明は、請求項3から請求項6のいずれかに記載の周波数シンセサイザにおいて、インタポレーションフィルタは、CICフィルタ(Cascade Integrated Comb Filter)であることを特徴とする。以上の構成により、インタポレーションフィルタに乗算器を利用しないフィルタを用いることで、回路を省略することを可能とする。
【0018】
請求項8に記載の発明は、請求項1から請求項7のいずれかに記載の周波数シンセサイザにおいて、第1のディジタル信号発生器、及び第2のディジタル信号発生器は共にDDSであることを特徴とする。
以上の構成により、ディジタル信号発生器を、ROMを用いた簡単な構成で実現することを可能とする。
【0019】
請求項9に記載の発明は、請求項8に記載の周波数シンセサイザにおいて、DDSはテーブル読み出し方式による位相振幅変換テーブルを実現するROMを備え、第1のディジタル信号発生器に対応するDDSにおける位相演算ビット長とROMアドレスビット長の差は、第2のディジタル信号発生器に対応するDDSにおける位相演算ビット長とROMアドレスビット長の差よりも小さいことを特徴とする。
以上の構成により、位相再量子化誤差が第1のディジタル信号発生器に対応するDDSの出力により支配される。
【0020】
請求項10に記載の発明は、請求項8、または請求項9に記載の周波数シンセサイザにおいて、第1のディジタル信号発生器に対応するDDSにおける出力ビット長は、第2のディジタル信号発生器に対応するDDSにおける出力ビット長よりも大きいことを特徴とする。
以上の構成により、周波数シンセサイザ出力のフィルタ帯域内のスプリアスは、第2のDDSのスプリアスレベルによって決定される。但し、フィルタ帯域外では、第2のディジタル信号発生器のスプリアスがフィルタにより抑圧されることと、振幅誤差と位相誤差が、共に第2のディジタル信号発生器より小さい第1のディジタル信号発生器のスプリアスレベルが低いことから、フィルタ帯域内より低いレベルとなる。
【0021】
請求項11に記載の発明は、請求項1から請求項10のいずれかに記載の周波数シンセサイザにおいて、フィルタ、またはインタポレーションフィルタは、第2のディジタル信号発生器の出力に、スプリアスの発生が許容される帯域幅以下の帯域制限を行うことを特徴とする。
以上の構成により、隣接チャネルに妨害を与えない周波数シンセサイザを実現できる。
【0022】
【発明の実施の形態】
以下、図面を参照して本発明の実施の形態について説明する。
図1は、本発明の実施の形態の周波数シンセサイザの構成を示すブロック図である。
図1において、位相の変化幅ΔΦで表された周波数データが”j0”bitで入力されると、周波数データΔΦはMSB側から”j1”bitの周波数データAと”j2”bitの周波数データBに分割される。
分割されたMSB側の”j1”bitは、位相演算部を形成する加算器11と位相レジスタ12により、累積加算されて位相データAfとなる。
【0023】
”j1”bitの位相データAfは、j1=k1の”k1”bitのアドレス信号線を持ち、位相データを振幅データに変換するテーブルが記録された”corse cos用”ROM−A13と、同様に”k1”bitのアドレス信号線を持ち、位相データを振幅データに変換するテーブルが記録された”corsesin用”ROM−B14に、アドレス信号として入力され、ROM−A13とROM−B14の出力には、”m”bit幅の振幅データが順次出力される。ここで、ROM−A13とROM−B14は、それそれ周波数データFのMSB側”j1”bitに対応した周波数の余弦波と正弦波を量子化して記録したROMであり、加算器11と位相レジスタ12、更にROM−A13とROM−B14により、第1のDDSを形成する。
【0024】
一方、”j0”bitの位相データのj1から見てLSB側に位置する残りの”j2”bitは、後述するサンプリングレート変換倍率Nに対応した”j0”bitの係数Nが乗算器15により乗算された”j0”bitの周波数設定データB’に変換された後、位相演算部を形成する加算器16と位相レジスタ17により、累積加算されて位相データBf’となる。
【0025】
”j0”bitの位相データBf’は、j0>k2の”k2”bitのアドレス信号線を持ち、位相データを振幅データに変換するテーブルが記録された”fine cos用”ROM−C18と、同様に”k2”bitのアドレス信号線を持ち、位相データを振幅データに変換するテーブルが記録された”fine sin用”ROM−D19に、アドレス信号として入力され、ROM−C18とROM−D19の出力には、”m”bit幅の振幅データが順次出力される。ここで、ROM−C18とROM−D19は、それそれ周波数データFの残りの”j2”bitに対応した周波数の余弦波と正弦波を量子化して記録したROMであり、加算器16と位相レジスタ17、更にROM−C18とROM−D19により、第2のDDSを形成する。
なお、例えば同一のビット長の2つのDDSを、サンプリング周波数1とサンプリング周波数Nで動作させた場合、出力される周波数も1対Nになるため、加算器16以降の第2のDDSのサンプリング周波数を、加算器11以降の第1のDDSのサンプリング周波数の1/Nに落として演算量を削減するために、周波数データBはN倍されて周波数データB’に補正した後、これを累積加算して位相データBf’とする。
【0026】
従って、ROM−C18とROM−D19の出力の”m”bit幅の振幅データは、それぞれインタポレーションフィルタ20とインタポレーションフィルタ21によりサンプリング周波数がN倍されて、”j1”bit側の信号と同じサンプリングレートに変換される。
インタポレーションフィルタ20とインタポレーションフィルタ21は、サンプリングレート変換倍率Nのアップサンプラ31と、アップサンプラ31により発生したイメージング成分を除去するローパスフィルタ32から構成されており、ROM−C18とROM−D19の出力の”m”bit幅の振幅データは、それぞれ補間されてN倍のサンプリングレートの信号となる。すなわち、1/Nにサンプリングレートが変換された信号にN倍の補間が行われることで、希望するサンプリングレートの信号となる。
【0027】
次に、ROM−A13とROM−B14、及びインタポレーションフィルタ20とインタポレーションフィルタ21の”m”bitの振幅データ出力は、複素ミキサ57により合成されて周波数シンセサイザの出力cos(n)、及びsin(n)として出力される。なお、複素ミキサ57は、従来例でも説明したように、実数軸側出力信号を計算するための実数軸側入力T1、T3同士を乗算する乗算器58と虚数軸側入力T2、T4同士を乗算する乗算器59、及び乗算器58の出力と乗算器59の出力とを合成する減算器60と、虚数軸側出力信号を計算するための一方の実数軸側入力T1と虚数軸側入力T4を乗算する乗算器61と、もう一方の実数軸側入力T3と虚数軸側入力T2を乗算する乗算器62、及び乗算器61の出力と乗算器62の出力とを合成する加算器63とから構成されており、ROM−A13の出力は、複素ミキサ57のT1端子、ROM−B14の出力は、複素ミキサ57のT2端子、インタポレーションフィルタ20の出力は、複素ミキサ57のT3端子、インタポレーションフィルタ21の出力は、複素ミキサ57のT4端子にそれぞれ接続されている。
従って、周波数シンセサイザの出力には、周波数設定データF(=A+B)に対応する周波数のキャリア信号を得る。
【0028】
次に、図2を用いて、本実施の形態の周波数シンセサイザにおいて、スプリアスが従来の周波数シンセサイザより低減される原理を説明する。
図2(a)は、図1におけるROM−C18とROM−D19の出力する信号の周波数特性を示した図であり、図7と同様に一様にスプリアスが発生している。
図2(b)は、図2(a)に示した信号を、例えば2倍にインタポレーションした信号であって、インタポレーションフィルタによって帯域制限が加えられて、スプリアスはインタポレーションフィルタの通過帯域分だけ発生している。
図2(c)は、図1におけるROM−A13とROM−B14の出力する信号の周波数特性を示した図であり、位相データの演算語長と、位相データを振幅データに変換するROMのアドレス長との差による位相再量子化誤差は発生しないため、スプリアスは発生しない。
図2(d)は、図2(b)と図2(c)に示した各信号を図1に示した複素ミキサ57により乗算したもので、すなわち周波数シンセサイザの出力に現れるスプリアスは、図2(b)に示したインタポレーションフィルタの通過帯域分だけのスプリアスである。
従って、明らかに図7に示した従来例の周波数シンセサイザのスプリアスに比較して、本実施の形態の周波数シンセサイザのスプリアスが軽減されていることがわかる。
【0029】
なお、上述のサンプリングレート変換倍率Nに2のべき乗で計算できる値を指定した場合、周波数設定データBをN倍して周波数設定データB’を求める際、乗算器15を用いずに、データをlog2NビットだけMSB側へシフトして周波数設定データB’を求めても良い。
図5は、この場合の実施形態を示した図であって、図1において、”j0”bitの位相データのj1から見てLSB側に位置する残りの”j2”bitに対して、サンプリングレート変換倍率Nに対応した係数Nを乗算した乗算器15の代わりに、”j0”bitの周波数設定データB’を求める際、”j0”bitの位相データのj1から見てLSB側に位置する残りの”j2”bitのMSB側に、”j0−log2N”bitの”0”を付加することで、データをlog2NビットだけMSB側へシフトして周波数設定データB’を求めている。従って、ビットシフトのみで計算が行えるので、回路規模の縮小と演算速度の向上を得ることができる。
【0030】
また、インタポレーションフィルタ20とインタポレーションフィルタ21は、ROM−C18とROM−D19の出力する信号の周波数を零に近い周波数とすることで、図3に示したCICフィルタ(Cascade Integrated Comb Filter)を用いることができる。
図3において、CICフィルタは、Mセクションのくし形フィルタを形成する減算器65と遅延器66、及びMセクションのローパスフィルタを形成する加算器67と遅延器68、更にくし形フィルタとローパスフィルタの間に設けられたN倍のアップサンプラ69とから構成されており、その入出力信号の周波数特性は、図4に示すようになる。
【0031】
更に、ROM−A13とROM−B14の出力する信号のビット数を、ROM−C18とROM−D19の出力する信号のビット数より大きくすることで、周波数シンセサイザの出力における振幅量子化誤差によるスプリアスは、ローパスフィルタ32の帯域内に相当する領域では、ROM−C18とROM−D19の出力する信号に支配され、ローパスフィルタ32の帯域外に相当する領域では、スプリアスの少ないROM−A13とROM−B14の出力する信号に支配される。
【0032】
また、上述の実施の形態では、全ての処理を複素数処理として説明したが、ROM−C18とROM−D19の出力する信号の周波数とインタポレーションフィルタ20とインタポレーションフィルタ21の通過帯域幅に対して、信号の折れ返しが発生しない関係を保てば、実数処理による信号処理も可能である。
また、本発明は、ディジタル信号発生器に図1に示した基本構成のDDSだけでなく、DDSにかかわる改善処理を行ったDDSを用いることができる。すなわち、例えば従来例に示したような構成のDDSを、本実施の形態の加算器11以降の第1のDDS、もしく加算器16以降の第2のDDSのどちらか、または両方と置き換え、更にROMの分割を行うことで、より少ない回路規模で大きな改善効果を持たせることが可能となる。
【0033】
【発明の効果】
以上の如く本発明によれば、ディジタル周波数シンセサイザ特有の一様に発生するスプリアスがキャリア近傍に制限されるため、ROMサイズを最小限のサイズに保ったままで、従来よりスプリアスの少ない周波数シンセサイザを実現できるという効果がある。特に、キャリアから離れた位置のスプリアスは、アナログ周波数シンセサイザの如く、キャリアから離れる程大きく抑圧される。
また、スプリアスを制限するフィルタに乗算器を利用しないフィルタ等を用いることで、消費電力を上げずに特性の良い周波数シンセサイザを実現できる。
また、スプリアスが少なく周波数ステップが粗い第1の信号発生器と、スプリアスが多く周波数ステップが細かい第2の信号発生器のサンプリング数の比を大きくとることで、第1の信号発生器と第2の信号発生器のサンプリング周波数比Nだけ、第2の信号発生器側の動作速度を第1の信号発生器側の動作速度より下げて実行し、更に消費電力を減らした周波数シンセサイザを実現できる。
【図面の簡単な説明】
【図1】 本発明の実施の形態による周波数シンセサイザの回路構成を示すブロック図である。
【図2】 本実施の形態による周波数シンセサイザにおいて、スプリアスが従来の周波数シンセサイザより低減される原理を説明する図である。
【図3】 本実施の形態に用いて有効な乗算器を用いないCICフィルタの構成示すブロック図である。
【図4】 図3に示すCICフィルタの入出力の周波数特性を示す図である。
【図5】 他の実施形態による周波数シンセサイザの回路構成を示すブロック図である。
【図6】 従来例のDDSにおけるスプリアスの発生原理を示した図である。
【図7】 従来例のDDSのスプリアスの一例を示した図である。
【図8】 従来例の周波数シンセサイザの構成を示した図である。
【符号の説明】
11、16、63 加算器
12、17 位相レジスタ
13 ROM−A
14 ROM−B
15、58、59、61、62 乗算器
18 ROM−C
19 ROM−D
20、21 インタポレーションフィルタ
31 N倍アップサンプラ
32 ローパスフィルタ
57 ミキサ
60 減算器

Claims (10)

  1. 量子化された任意の周波数の信号を発生する第1のディジタル信号発生器と、
    前記第1のディジタル信号発生器より、周波数分解度が細かくスプリアスの多い第2のディジタル信号発生器と、
    前記第2のディジタル信号発生器の出力に帯域制限を行うフィルタと、
    前記第1のディジタル信号発生器の出力と、前記フィルタの出力を合成するミキサと、
    を設け
    前記第1のディジタル信号発生器は、前記第2のディジタル信号発生器の出力サンプリング周波数より、出力サンプリング周波数を高く設定し、
    前記フィルタとして、前記第2のディジタル信号発生器の出力サンプリング周波数を、前記第1のディジタル信号発生器の出力サンプリング周波数に一致させるインタポレーションフィルタを設け、
    前記ミキサにより前記第1のディジタル信号発生器の出力と前記インタポレーションフィルタの出力を合成する、ことを特徴とする周波数シンセサイザ。
  2. 与えられた周波数設定データ長がXビット(Xは整数)のとき、
    前記第1のディジタル信号発生器は、
    与えられた周波数設定データを累積加算後のMSB側のYビット(Yは整数)の位相データに対応する周波数信号を発生し、
    前記第2のディジタル信号発生器は、
    与えられた周波数設定データのLSB側のZビット(Z=X−Y)を有効とするXビットの信号を累積加算した位相データに対応する周波数信号を発生することを特徴とする請求項1に記載の周波数シンセサイザ。
  3. 前記与えられた周波数設定データ長がXビット、インタポレーション比がN(Nは整数)のとき、
    前記第1のディジタル信号発生器は、
    与えられた周波数設定データを累積加算後のMSB側のYビット(Yは整数)の位相データに対応する周波数信号を発生し、
    前記第2のディジタル信号発生器は、
    与えられた周波数設定データのLSB側のZビット(Z=X−Y)を有効とするKビット(K=X−log2N)のデータをN倍し、更にこれを累積加算した位相データに対応する周波数信号を発生することを特徴とする請求項1、または請求項2に記載の周波数シンセサイザ。
  4. 前記インタポレーション比Nが正かつ2のべき乗のとき、
    前記第2のディジタル信号発生器は、
    与えられた周波数設定データのLSB側のZビット(Z=X−Y)を有効とするKビット(K=X−log2N)のデータを、log2NビットだけMSB側へシフトし、更にこれを累積加算した位相データに対応する周波数信号を発生することを特徴とする請求項に記載の周波数シンセサイザ。
  5. 前記ディジタル信号発生器は、
    与えられた周波数設定データ長が0ビットのとき、位相、及び振幅が一定の信号を出力することを特徴とする請求項1から請求項のいずれかに記載の周波数シンセサイザ。
  6. 前記インタポレーションフィルタは、
    CICフィルタ(Cascade Integrated Comb Filter)であることを特徴とする請求項から請求項のいずれかに記載の周波数シンセサイザ。
  7. 前記第1のディジタル信号発生器、及び前記第2のディジタル信号発生器は共にDDSであることを特徴とする請求項1から請求項のいずれかに記載の周波数シンセサイザ。
  8. 前記DDSはテーブル読み出し方式による位相振幅変換テーブルを実現するROMを備え、
    前記第1のディジタル信号発生器に対応するDDSにおける位相演算ビット長とROMアドレスビット長の差は、前記第2のディジタル信号発生器に対応するDDSにおける位相演算ビット長とROMアドレスビット長の差よりも小さいことを特徴とする請求項に記載の周波数シンセサイザ。
  9. 前記第1のディジタル信号発生器に対応するDDSにおける出力ビット長は、前記第2のディジタル信号発生器に対応するDDSにおける出力ビット長よりも大きいことを特徴とする請求項、または請求項に記載の周波数シンセサイザ。
  10. 前記フィルタは
    前記第2のディジタル信号発生器の出力に、スプリアスの発生が許容される帯域幅以下の帯域制限を行うことを特徴とする請求項1から請求項のいずれかに記載の周波数シンセサイザ。
JP2001058395A 2001-03-02 2001-03-02 周波数シンセサイザ Expired - Fee Related JP4536946B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2001058395A JP4536946B2 (ja) 2001-03-02 2001-03-02 周波数シンセサイザ
KR10-2002-0007187A KR100424652B1 (ko) 2001-03-02 2002-02-07 주파수 합성기
US10/085,825 US6664819B2 (en) 2001-03-02 2002-02-27 Frequency synthesizer for improving a unique DDS characteristic

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2001058395A JP4536946B2 (ja) 2001-03-02 2001-03-02 周波数シンセサイザ

Publications (2)

Publication Number Publication Date
JP2002271143A JP2002271143A (ja) 2002-09-20
JP4536946B2 true JP4536946B2 (ja) 2010-09-01

Family

ID=18918122

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001058395A Expired - Fee Related JP4536946B2 (ja) 2001-03-02 2001-03-02 周波数シンセサイザ

Country Status (3)

Country Link
US (1) US6664819B2 (ja)
JP (1) JP4536946B2 (ja)
KR (1) KR100424652B1 (ja)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007067557A (ja) * 2005-08-29 2007-03-15 Agilent Technol Inc 位相制御装置、周波数制御装置、発振装置、位相制御方法及び周波数制御方法
JP5133172B2 (ja) * 2008-09-08 2013-01-30 株式会社リコー Fm送信回路及びオーバーサンプリング処理回路
JP2011172199A (ja) * 2010-01-20 2011-09-01 Nippon Dempa Kogyo Co Ltd ダイレクト・デジタル・シンセサイザ回路
JP2011151532A (ja) * 2010-01-20 2011-08-04 Nippon Dempa Kogyo Co Ltd 周波数ジェネレータ
CN102158204B (zh) * 2010-12-22 2014-06-04 昆腾微电子股份有限公司 用于接收机的可编程数字信号发生器及其信号发生方法
US9093955B2 (en) * 2013-03-21 2015-07-28 Tektronix, Inc. Asynchronous time-interleaved waveform generator using harmonic mixing
JP6172726B1 (ja) * 2016-04-06 2017-08-02 有限会社ファインチューン 数値制御波形発生器及びデジタル同期検波器
CN113676179B (zh) * 2021-05-13 2024-05-14 中国地质大学(武汉) 一种数字正交混频器混频方法、混频器电路和混频器

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0923158A (ja) * 1995-07-07 1997-01-21 Mitsubishi Electric Corp 周波数シンセサイザ
JP2000124740A (ja) * 1998-10-19 2000-04-28 Mitsubishi Electric Corp 周波数シンセサイザ

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3568069A (en) * 1968-12-16 1971-03-02 Sanders Associates Inc Digitally controlled frequency synthesizer
US4977613A (en) * 1988-10-17 1990-12-11 Motorola, Inc. Fine tuning frequency synthesizer with feedback loop for frequency control systems
US5179348A (en) * 1991-08-22 1993-01-12 Interstate Electronics Corporation Progression of states numerically controlled oscillator
JP2526847B2 (ja) * 1993-05-24 1996-08-21 日本電気株式会社 ディジタル方式無線電話機

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0923158A (ja) * 1995-07-07 1997-01-21 Mitsubishi Electric Corp 周波数シンセサイザ
JP2000124740A (ja) * 1998-10-19 2000-04-28 Mitsubishi Electric Corp 周波数シンセサイザ

Also Published As

Publication number Publication date
US6664819B2 (en) 2003-12-16
KR100424652B1 (ko) 2004-03-25
JP2002271143A (ja) 2002-09-20
KR20020070787A (ko) 2002-09-11
US20020130689A1 (en) 2002-09-19

Similar Documents

Publication Publication Date Title
Vankka et al. Direct digital synthesizers: theory, design and applications
JP2926615B2 (ja) Ssb信号発生器
KR100407338B1 (ko) 수신기
US5497152A (en) Digital-to-digital conversion using non-uniform sample rates
EP1469373A1 (en) Direct digital frequency synthesizer for cellular wireless communication systems based on fast frequency-hopped spread spectrum technology
US7411525B2 (en) Sampling rate converting method and circuit
Ramírez et al. Fast RNS FPL-based communications receiver design and implementation
SE515879C2 (sv) Fraktional-N-syntes med serierekombination utnyttjande flera ackumulatorer
JP4536946B2 (ja) 周波数シンセサイザ
JPS63500766A (ja) ディジタル無線周波受信機
JP4740144B2 (ja) 直接デジタル合成方式による周波数合成器
KR100416289B1 (ko) 디지털 아날로그변환기 및 그 방법과 데이터 보간장치 및그 방법
KR100957321B1 (ko) 소프트웨어 무선기 및 이를 이용한 신호처리 방법
US6504879B1 (en) Digital modulation apparatus
JP4843347B2 (ja) 受信システム
JP3466428B2 (ja) 直接ディジタル周波数シンセサイザ、位相同期形周波数シンセサイザ及び送受信装置
JPH09149086A (ja) デジタルfm系変調回路
JPS6387808A (ja) チヤ−プ信号発生回路
JP3505644B2 (ja) ダイレクト・デジタル・シンセサイザ
JP3842396B2 (ja) デジタル変調装置
Cardoso et al. Direct Digital Synthesizer Using FPGA
RU2504891C1 (ru) Способ генерации выходной частоты цифрового синтезатора прямого синтеза
KR20000031136A (ko) 직접 디지털 주파수 합성기
KR100337140B1 (ko) 오버 샘플링 a/d, d/a 변환 장치
Vankka et al. Direct digital synthesizer

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070227

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091201

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100301

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100518

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100617

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130625

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees