JP2002271143A - 周波数シンセサイザ - Google Patents

周波数シンセサイザ

Info

Publication number
JP2002271143A
JP2002271143A JP2001058395A JP2001058395A JP2002271143A JP 2002271143 A JP2002271143 A JP 2002271143A JP 2001058395 A JP2001058395 A JP 2001058395A JP 2001058395 A JP2001058395 A JP 2001058395A JP 2002271143 A JP2002271143 A JP 2002271143A
Authority
JP
Japan
Prior art keywords
signal generator
digital signal
frequency
output
bit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2001058395A
Other languages
English (en)
Other versions
JP4536946B2 (ja
Inventor
Takahiko Kishi
孝彦 岸
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Samsung Electronics Co Ltd
Original Assignee
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Samsung Electronics Co Ltd filed Critical Samsung Electronics Co Ltd
Priority to JP2001058395A priority Critical patent/JP4536946B2/ja
Priority to KR10-2002-0007187A priority patent/KR100424652B1/ko
Priority to US10/085,825 priority patent/US6664819B2/en
Publication of JP2002271143A publication Critical patent/JP2002271143A/ja
Application granted granted Critical
Publication of JP4536946B2 publication Critical patent/JP4536946B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/02Digital function generators
    • G06F1/03Digital function generators working, at least partly, by table look-up
    • G06F1/035Reduction of table size
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03LAUTOMATIC CONTROL, STARTING, SYNCHRONISATION OR STABILISATION OF GENERATORS OF ELECTRONIC OSCILLATIONS OR PULSES
    • H03L7/00Automatic control of frequency or phase; Synchronisation
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/02Digital function generators
    • G06F1/03Digital function generators working, at least partly, by table look-up
    • G06F1/0321Waveform generators, i.e. devices for generating periodical functions of time, e.g. direct digital synthesizers
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03BGENERATION OF OSCILLATIONS, DIRECTLY OR BY FREQUENCY-CHANGING, BY CIRCUITS EMPLOYING ACTIVE ELEMENTS WHICH OPERATE IN A NON-SWITCHING MANNER; GENERATION OF NOISE BY SUCH CIRCUITS
    • H03B21/00Generation of oscillations by combining unmodulated signals of different frequencies
    • H03B21/01Generation of oscillations by combining unmodulated signals of different frequencies by beating unmodulated signals of different frequencies
    • H03B21/02Generation of oscillations by combining unmodulated signals of different frequencies by beating unmodulated signals of different frequencies by plural beating, i.e. for frequency synthesis ; Beating in combination with multiplication or division of frequency

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Stabilization Of Oscillater, Synchronisation, Frequency Synthesizers (AREA)

Abstract

(57)【要約】 【課題】 DDSの回路規模(ROMサイズ)を大きく
すること無く、DDS固有の一様に分布するスプリアス
を改善する周波数シンセサイザを提供する。 【解決手段】 周波数設定データΔΦのMSB側から”
j1”bitに対応する位相データにより、位相再量子
化誤差のない信号をROM−A13とB14から得る。
一方、”j0”bitの位相データのj1から見てLS
B側の残りの”j2”bitに対応する位相データによ
り、位相再量子化誤差によるスプリアスのある信号をR
OM−C18とD19から得る。ROM−C18とD1
9の出力は、インタポレーションフィルタ20と21に
より”j1”bit側と同じサンプリングレートに変換
され、帯域制限によりスプリアスが軽減される。ROM
−A13とB14、及びインタポレーションフィルタ2
0と21の出力は、複素ミキサ57で合成され、周波数
設定データΔΦに対応する周波数の信号を得る。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】この発明は、ディジタル信号
処理により正弦波(余弦波)を発生する周波数シンセサ
イザに関し、特にスプリアス低減を実現する周波数シン
セサイザに関する。
【0002】
【従来の技術】従来、例えばダイレクトディジタルシン
セサイザ(DDS:Direct Digital Synthesizer)において、
演算処理によって発生するスプリアスは、DDSを受信
機のローカル信号に用いた場合、隣接チャンネル妨害特
性やバンド外からの妨害特性を悪化させるという問題が
生じる。同様に、DDSを送信機のローカル信号に用い
た場合、近接周波数へ妨害を与えるという問題が生じ
る。図6は、DDSにおけるスプリアスの発生原理を示
した図である。DDSにおける演算精度不足によるスプ
リアス発生原因は、位相演算部を形成する加算器71と
位相レジスタ72における演算語長iと、位相データを
振幅データに変換するROM73のアドレス長kとの差
による位相再量子化誤差ep 、及びROM73出力ビッ
トの振幅量子化誤差ea によるものであって、図7に示
すように、スプリアスは希望信号の周波数fcに対して
一様な分布となる。
【0003】DDSの演算精度向上としてj=kとすれ
ば位相誤差によるスプリアスは発生せず、またROMの
出力データ幅mを十分に大きく取れば振幅誤差によるス
プリアスも問題の無いレベルとすることが可能である。
しかし、位相演算部の演算語長iとROMアドレス長k
とを同じにする場合、ROMのサイズはアドレス長を1
bit長くする毎に回路規模が2倍となるので、演算語
長が長いときには実現困難である。そこで、少ないRO
Mサイズで等価的に大きなROMサイズを得る方法とし
て、三角関数の加法定理を用いる方法が提案されてい
る。
【0004】例えば、”j0”bitの周波数設定デー
タFに対して、F=A+Bなる関係の周波数設定データ
FのMSB側”j1”bitのデータをA、周波数設定
データFのj1から見てLSB側に位置する残りの”j
2”bitのデータをBとしたとき、以下の式の関係よ
りcos/sinを求める。すなわち、 cos(F)=cos(A+B)=cosA・cosB
−sinA・sinB sin(F)=sin(A+B)=sinA・cosB
+cosA・sinB により、周波数設定データFは、周波数設定データAと
周波数設定データBとの合成により生成することができ
る。
【0005】従って、周波数設定データFが例えば16
bitのときに、ROMの出力データ幅mを1bitと
しても、 (1)cos(F)用:2の16乗=65536wor
d (2)sin(F)用:2の16乗=65536wor
d =64kword×2だけ必要だったROMの容量が、
A、Bそれぞれ8bitに分割すると、ROM1個あた
りの容量が65536wordの平方根=256wor
dとなり、 (1)cos(A)用:256word (2)sin(A)用:256word (3)cos(B)用:256word (4)sin(B)用:256word=256wor
d×4となり、従来のDDSに比較してROMサイズが
1/128で済むことになる。
【0006】図8は、三角関数の加法定理を用いる方法
によるDDSの実現例である。図8において、位相の変
化幅ΔΦで表された周波数設定データFが”j0”bi
tで入力されると、位相演算部を形成する加算器51と
位相レジスタ52により、累積加算されて位相データF
fとなる。”j0”bitの位相データFfは、MSB
側から”j1”bitの位相データAfと”j2”bi
tの位相データBfに分割され、分割されたMSB側
の”j1”bitの内の”k1”bitは、位相データ
を振幅データに変換するテーブルが記録された上述のc
os(A)用ROM−A53と、sin(A)用ROM
−B54に、アドレス信号として入力され、ROM−A
53とROM−B54の出力には、”m”bit幅の振
幅データが順次出力される。ここで、ROM−A53と
ROM−B54は、それぞれ位相データFfのMSB
側”j1”bitに対応する周波数の余弦波と正弦波を
量子化して記録したROMである。
【0007】一方、”j0”bitの位相データのj1
から見てLSB側に位置する残りの”j2”bitの内
の”k2”bitは、位相データを振幅データに変換す
るテーブルが記録された上述のcos(B)用ROM−
C55と、sin(B)用ROM−D56に、アドレス
信号として入力され、ROM−C55とROM−D56
の出力には、”m”bit幅の振幅データが順次出力さ
れる。ここで、ROM−C55とROM−D55は、そ
れぞれ位相データFfの残りの”j2”bitに対応す
る周波数の余弦波と正弦波を量子化して記録したROM
である。
【0008】ROM−A53とROM−B54、及びR
OM−C55とROM−D56の”m”bitの振幅デ
ータ出力は、複素ミキサ57により合成されて周波数シ
ンセサイザの出力cos(n)、及びsin(n)とし
て出力される。なお、複素ミキサ57は、実数軸側出力
信号を計算するための実数軸側入力T1、T3同士を乗
算する乗算器58と虚数軸側入力T2、T4同士を乗算
する乗算器59、及び乗算器58の出力と乗算器59の
出力とを合成する減算器60と、虚数軸側出力信号を計
算するための一方の実数軸側入力T1と虚数軸側入力T
4を乗算する乗算器61ともう一方の実数軸側入力T3
と虚数軸側入力T2を乗算する乗算器62、及び乗算器
61の出力と乗算器62の出力とを合成する加算器63
とから構成されており、ROM−A53の出力は、複素
ミキサ57のT1端子、ROM−B54の出力は、複素
ミキサ57のT2端子、ROM−C55の出力は、複素
ミキサ57のT3端子、ROM−D56の出力は、複素
ミキサ57のT4端子にそれぞれ接続されている。従っ
て、周波数シンセサイザの出力には、周波数設定データ
F(=A+B)に対応する周波数のキャリア信号を得
る。
【0009】
【発明が解決しようとする課題】しかし、上述の周波数
設定データFが32bit必要となれば、2の32乗=
4294967296word、4294967296
wordの平方根=65536wordであり、周波数
設定データFをデータA、データBに分割したとしても
64kword×4のROMが必要となり、高速な演算
が要求されるDDSでは、一部の用途を除いて実現は現
実的でなくなってしまう。このように、希望の周波数設
定データFの演算語長が長くなると、周波数設定データ
Aを振幅データに変換するROMのアドレス長k1と、
周波数設定データBを振幅データに変換するROMのア
ドレス長k2を、それぞれj1=k1、j2=k2とす
ることが困難になるので、結局j1>k1、j2>k2
となる。この場合、周波数を分割しない場合よりはRO
Mサイズを小さく出来ることで発生する誤差自体を小さ
くすることは出来るが、周波数設定データA側、周波数
設定データB側のそれぞれに位相誤差が生じ、スプリア
スの発生は避けられない。特に、DDSのスプリアスは
図7に示すごとく一様な分布をするために、無線機のロ
ーカル信号発生器として利用したとき、受信機において
は広範囲から妨害を受け、送信機においては広範囲へ妨
害を与えることになる。これは、アナログ発振器のスプ
リアスが、信号近傍のC/Nは悪くても、離れた点にお
いては通常問題の無いレベルに下がることと比較すると
対称的である。
【0010】本発明は、上記問題点に鑑みてなされたも
ので、DDSの回路規模(ROMサイズ)を大きくする
こと無く、DDS固有の一様に分布するスプリアスを改
善する周波数シンセサイザを提供することを目的とす
る。
【0011】
【課題を解決するための手段】上記の課題を解決するた
めに、請求項1に記載の発明は、量子化された任意の周
波数の信号を発生する第1のディジタル信号発生器(例
えば実施の形態の加算器11と位相レジスタ12、及び
ROM−A13とROM−B14)と、第1のディジタ
ル信号発生器より、周波数分解度が細かくスプリアスの
多い第2のディジタル信号発生器(例えば実施の形態の
加算器16と位相レジスタ17、及びROM−C18と
ROMD−19)と、第2のディジタル信号発生器の出
力に帯域制限を行うフィルタと、第1のディジタル信号
発生器の出力と、フィルタの出力を合成するミキサ(例
えば実施の形態の複素ミキサ57)とを設けたことを特
徴とする。以上の構成により、第2のディジタル信号発
生器の出力のスプリアスをフィルタにより除去すること
を可能とする。
【0012】請求項2に記載の発明は、請求項1に記載
の周波数シンセサイザにおいて、与えられた周波数設定
データ長がXビット(Xは整数)のとき、第1のディジ
タル信号発生器は、与えられた周波数設定データを累積
加算後のMSB側のYビット(Yは整数)の位相データ
に対応する周波数信号を発生し、第2のディジタル信号
発生器は、与えられた周波数設定データのLSB側のZ
ビット(Z=X−Y)を有効とするXビットの信号を累
積加算した位相データに対応する周波数信号を発生する
ことを特徴とする。以上の構成により、与えられた周波
数設定データより2つの位相データを分割して生成し、
ディジタル信号発生器に要求される広範囲な周波数可変
幅と少ないスプリアスという相反する性能を個々の位相
データに対応するディジタル信号発生器に分割すること
を可能とする。
【0013】請求項3に記載の発明は、請求項1、また
は請求項2に記載の周波数シンセサイザにおいて、第1
のディジタル信号発生器は、第2のディジタル信号発生
器の出力サンプリング周波数より、出力サンプリング周
波数を高く設定し、第2のディジタル信号発生器の出力
サンプリング周波数を、第1のディジタル信号発生器の
出力サンプリング周波数に一致させるインタポレーショ
ンフィルタを設け、ミキサにより第1のディジタル信号
発生器の出力と合成することを特徴とする。以上の構成
により、第1の信号発生器と第2の信号発生器のサンプ
リング周波数比だけ、第2の信号発生器側の動作速度を
第1の信号発生器側の動作速度より下げて実行すること
を可能とする。
【0014】請求項4に記載の発明は、請求項3に記載
の周波数シンセサイザにおいて、与えられた周波数設定
データ長がXビット、インタポレーション比がN(Nは
整数)のとき、第1のディジタル信号発生器は、与えら
れた周波数設定データを累積加算後のMSB側のYビッ
ト(Yは整数)の位相データに対応する周波数信号を発
生し、第2のディジタル信号発生器は、与えられた周波
数設定データのLSB側のZビット(Z=X−Y)を有
効とするKビット(K=X−log2N)のデータをN
倍し、更にこれを累積加算した位相データに対応する周
波数信号を発生することを特徴とする。以上の構成によ
り、第1の信号発生器と第2の信号発生器のサンプリン
グ周波数比Nだけ、第2の信号発生器側の動作速度を第
1の信号発生器側の動作速度より下げて実行し、これを
位相データで調整することを可能とする。
【0015】請求項5に記載の発明は、請求項4に記載
の周波数シンセサイザにおいて、インタポレーション比
Nが正かつ2のべき乗のとき、第2のディジタル信号発
生器は、与えられた周波数設定データのLSB側のZビ
ット(Z=X−Y)を有効とするKビット(K=X−l
og2N)のデータを、log2NビットだけMSB側
へシフトし、更にこれを累積加算した位相データに対応
する周波数信号を発生することを特徴とする。以上の構
成により、乗算器の代わりにビットシフトで計算し、回
路を省略することを可能とする。
【0016】請求項6に記載の発明は、請求項1から請
求項5のいずれかに記載の周波数シンセサイザにおい
て、ディジタル信号発生器は、与えられた周波数設定デ
ータ長が0ビットのとき、位相、及び振幅が一定の信号
を出力することを特徴とする。以上の構成により、必要
のないディジタル信号発生器は動作を停止させることを
可能とする。
【0017】請求項7に記載の発明は、請求項3から請
求項6のいずれかに記載の周波数シンセサイザにおい
て、インタポレーションフィルタは、CICフィルタ
(Cascade Integrated Comb Filter)であることを特徴
とする。以上の構成により、インタポレーションフィル
タに乗算器を利用しないフィルタを用いることで、回路
を省略することを可能とする。
【0018】請求項8に記載の発明は、請求項1から請
求項7のいずれかに記載の周波数シンセサイザにおい
て、第1のディジタル信号発生器、及び第2のディジタ
ル信号発生器は共にDDSであることを特徴とする。以
上の構成により、ディジタル信号発生器を、ROMを用
いた簡単な構成で実現することを可能とする。
【0019】請求項9に記載の発明は、請求項8に記載
の周波数シンセサイザにおいて、DDSはテーブル読み
出し方式による位相振幅変換テーブルを実現するROM
を備え、第1のディジタル信号発生器に対応するDDS
における位相演算ビット長とROMアドレスビット長の
差は、第2のディジタル信号発生器に対応するDDSに
おける位相演算ビット長とROMアドレスビット長の差
よりも小さいことを特徴とする。以上の構成により、位
相再量子化誤差が第1のディジタル信号発生器に対応す
るDDSの出力により支配される。
【0020】請求項10に記載の発明は、請求項8、ま
たは請求項9に記載の周波数シンセサイザにおいて、第
1のディジタル信号発生器に対応するDDSにおける出
力ビット長は、第2のディジタル信号発生器に対応する
DDSにおける出力ビット長よりも大きいことを特徴と
する。以上の構成により、周波数シンセサイザ出力のフ
ィルタ帯域内のスプリアスは、第2のDDSのスプリア
スレベルによって決定される。但し、フィルタ帯域外で
は、第2のディジタル信号発生器のスプリアスがフィル
タにより抑圧されることと、振幅誤差と位相誤差が、共
に第2のディジタル信号発生器より小さい第1のディジ
タル信号発生器のスプリアスレベルが低いことから、フ
ィルタ帯域内より低いレベルとなる。
【0021】請求項11に記載の発明は、請求項1から
請求項10のいずれかに記載の周波数シンセサイザにお
いて、フィルタ、またはインタポレーションフィルタ
は、第2のディジタル信号発生器の出力に、スプリアス
の発生が許容される帯域幅以下の帯域制限を行うことを
特徴とする。以上の構成により、隣接チャネルに妨害を
与えない周波数シンセサイザを実現できる。
【0022】
【発明の実施の形態】以下、図面を参照して本発明の実
施の形態について説明する。図1は、本発明の実施の形
態の周波数シンセサイザの構成を示すブロック図であ
る。図1において、位相の変化幅ΔΦで表された周波数
データが”j0”bitで入力されると、周波数データ
ΔΦはMSB側から”j1”bitの周波数データA
と”j2”bitの周波数データBに分割される。分割
されたMSB側の”j1”bitは、位相演算部を形成
する加算器11と位相レジスタ12により、累積加算さ
れて位相データAfとなる。
【0023】”j1”bitの位相データAfは、j1
=k1の”k1”bitのアドレス信号線を持ち、位相
データを振幅データに変換するテーブルが記録された”
corse cos用”ROM−A13と、同様に”k
1”bitのアドレス信号線を持ち、位相データを振幅
データに変換するテーブルが記録された”corses
in用”ROM−B14に、アドレス信号として入力さ
れ、ROM−A13とROM−B14の出力には、”
m”bit幅の振幅データが順次出力される。ここで、
ROM−A13とROM−B14は、それそれ周波数デ
ータFのMSB側”j1”bitに対応した周波数の余
弦波と正弦波を量子化して記録したROMであり、加算
器11と位相レジスタ12、更にROM−A13とRO
M−B14により、第1のDDSを形成する。
【0024】一方、”j0”bitの位相データのj1
から見てLSB側に位置する残りの”j2”bitは、
後述するサンプリングレート変換倍率Nに対応した”j
0”bitの係数Nが乗算器15により乗算された”j
0”bitの周波数設定データB’に変換された後、位
相演算部を形成する加算器16と位相レジスタ17によ
り、累積加算されて位相データBf’となる。
【0025】”j0”bitの位相データBf’は、j
0>k2の”k2”bitのアドレス信号線を持ち、位
相データを振幅データに変換するテーブルが記録され
た”fine cos用”ROM−C18と、同様に”
k2”bitのアドレス信号線を持ち、位相データを振
幅データに変換するテーブルが記録された”fines
in用”ROM−D19に、アドレス信号として入力さ
れ、ROM−C18とROM−D19の出力には、”
m”bit幅の振幅データが順次出力される。ここで、
ROM−C18とROM−D19は、それそれ周波数デ
ータFの残りの”j2”bitに対応した周波数の余弦
波と正弦波を量子化して記録したROMであり、加算器
16と位相レジスタ17、更にROM−C18とROM
−D19により、第2のDDSを形成する。なお、例え
ば同一のビット長の2つのDDSを、サンプリング周波
数1とサンプリング周波数Nで動作させた場合、出力さ
れる周波数も1対Nになるため、加算器16以降の第2
のDDSのサンプリング周波数を、加算器11以降の第
1のDDSのサンプリング周波数の1/Nに落として演
算量を削減するために、周波数データBはN倍されて周
波数データB’に補正した後、これを累積加算して位相
データBf’とする。
【0026】従って、ROM−C18とROM−D19
の出力の”m”bit幅の振幅データは、それぞれイン
タポレーションフィルタ20とインタポレーションフィ
ルタ21によりサンプリング周波数がN倍されて、”j
1”bit側の信号と同じサンプリングレートに変換さ
れる。インタポレーションフィルタ20とインタポレー
ションフィルタ21は、サンプリングレート変換倍率N
のアップサンプラ31と、アップサンプラ31により発
生したイメージング成分を除去するローパスフィルタ3
2から構成されており、ROM−C18とROM−D1
9の出力の”m”bit幅の振幅データは、それぞれ補
間されてN倍のサンプリングレートの信号となる。すな
わち、1/Nにサンプリングレートが変換された信号に
N倍の補間が行われることで、希望するサンプリングレ
ートの信号となる。
【0027】次に、ROM−A13とROM−B14、
及びインタポレーションフィルタ20とインタポレーシ
ョンフィルタ21の”m”bitの振幅データ出力は、
複素ミキサ57により合成されて周波数シンセサイザの
出力cos(n)、及びsin(n)として出力され
る。なお、複素ミキサ57は、従来例でも説明したよう
に、実数軸側出力信号を計算するための実数軸側入力T
1、T3同士を乗算する乗算器58と虚数軸側入力T
2、T4同士を乗算する乗算器59、及び乗算器58の
出力と乗算器59の出力とを合成する減算器60と、虚
数軸側出力信号を計算するための一方の実数軸側入力T
1と虚数軸側入力T4を乗算する乗算器61と、もう一
方の実数軸側入力T3と虚数軸側入力T2を乗算する乗
算器62、及び乗算器61の出力と乗算器62の出力と
を合成する加算器63とから構成されており、ROM−
A13の出力は、複素ミキサ57のT1端子、ROM−
B14の出力は、複素ミキサ57のT2端子、インタポ
レーションフィルタ20の出力は、複素ミキサ57のT
3端子、インタポレーションフィルタ21の出力は、複
素ミキサ57のT4端子にそれぞれ接続されている。従
って、周波数シンセサイザの出力には、周波数設定デー
タF(=A+B)に対応する周波数のキャリア信号を得
る。
【0028】次に、図2を用いて、本実施の形態の周波
数シンセサイザにおいて、スプリアスが従来の周波数シ
ンセサイザより低減される原理を説明する。図2(a)
は、図1におけるROM−C18とROM−D19の出
力する信号の周波数特性を示した図であり、図7と同様
に一様にスプリアスが発生している。図2(b)は、図
2(a)に示した信号を、例えば2倍にインタポレーシ
ョンした信号であって、インタポレーションフィルタに
よって帯域制限が加えられて、スプリアスはインタポレ
ーションフィルタの通過帯域分だけ発生している。図2
(c)は、図1におけるROM−A13とROM−B1
4の出力する信号の周波数特性を示した図であり、位相
データの演算語長と、位相データを振幅データに変換す
るROMのアドレス長との差による位相再量子化誤差は
発生しないため、スプリアスは発生しない。図2(d)
は、図2(b)と図2(c)に示した各信号を図1に示
した複素ミキサ57により乗算したもので、すなわち周
波数シンセサイザの出力に現れるスプリアスは、図2
(b)に示したインタポレーションフィルタの通過帯域
分だけのスプリアスである。従って、明らかに図7に示
した従来例の周波数シンセサイザのスプリアスに比較し
て、本実施の形態の周波数シンセサイザのスプリアスが
軽減されていることがわかる。
【0029】なお、上述のサンプリングレート変換倍率
Nに2のべき乗で計算できる値を指定した場合、周波数
設定データBをN倍して周波数設定データB’を求める
際、乗算器15を用いずに、データをlog2Nビット
だけMSB側へシフトして周波数設定データB’を求め
ても良い。図5は、この場合の実施形態を示した図であ
って、図1において、”j0”bitの位相データのj
1から見てLSB側に位置する残りの”j2”bitに
対して、サンプリングレート変換倍率Nに対応した係数
Nを乗算した乗算器15の代わりに、”j0”bitの
周波数設定データB’を求める際、”j0”bitの位
相データのj1から見てLSB側に位置する残りの”j
2”bitのMSB側に、”j0−log2N”bit
の”0”を付加することで、データをlog2Nビット
だけMSB側へシフトして周波数設定データB’を求め
ている。従って、ビットシフトのみで計算が行えるの
で、回路規模の縮小と演算速度の向上を得ることができ
る。
【0030】また、インタポレーションフィルタ20と
インタポレーションフィルタ21は、ROM−C18と
ROM−D19の出力する信号の周波数を零に近い周波
数とすることで、図3に示したCICフィルタ(Cascad
e Integrated Comb Filter)を用いることができる。図
3において、CICフィルタは、Mセクションのくし形
フィルタを形成する減算器65と遅延器66、及びMセ
クションのローパスフィルタを形成する加算器67と遅
延器68、更にくし形フィルタとローパスフィルタの間
に設けられたN倍のアップサンプラ69とから構成され
ており、その入出力信号の周波数特性は、図4に示すよ
うになる。
【0031】更に、ROM−A13とROM−B14の
出力する信号のビット数を、ROM−C18とROM−
D19の出力する信号のビット数より大きくすること
で、周波数シンセサイザの出力における振幅量子化誤差
によるスプリアスは、ローパスフィルタ32の帯域内に
相当する領域では、ROM−C18とROM−D19の
出力する信号に支配され、ローパスフィルタ32の帯域
外に相当する領域では、スプリアスの少ないROM−A
13とROM−B14の出力する信号に支配される。
【0032】また、上述の実施の形態では、全ての処理
を複素数処理として説明したが、ROM−C18とRO
M−D19の出力する信号の周波数とインタポレーショ
ンフィルタ20とインタポレーションフィルタ21の通
過帯域幅に対して、信号の折れ返しが発生しない関係を
保てば、実数処理による信号処理も可能である。また、
本発明は、ディジタル信号発生器に図1に示した基本構
成のDDSだけでなく、DDSにかかわる改善処理を行
ったDDSを用いることができる。すなわち、例えば従
来例に示したような構成のDDSを、本実施の形態の加
算器11以降の第1のDDS、もしく加算器16以降の
第2のDDSのどちらか、または両方と置き換え、更に
ROMの分割を行うことで、より少ない回路規模で大き
な改善効果を持たせることが可能となる。
【0033】
【発明の効果】以上の如く本発明によれば、ディジタル
周波数シンセサイザ特有の一様に発生するスプリアスが
キャリア近傍に制限されるため、ROMサイズを最小限
のサイズに保ったままで、従来よりスプリアスの少ない
周波数シンセサイザを実現できるという効果がある。特
に、キャリアから離れた位置のスプリアスは、アナログ
周波数シンセサイザの如く、キャリアから離れる程大き
く抑圧される。また、スプリアスを制限するフィルタに
乗算器を利用しないフィルタ等を用いることで、消費電
力を上げずに特性の良い周波数シンセサイザを実現でき
る。また、スプリアスが少なく周波数ステップが粗い第
1の信号発生器と、スプリアスが多く周波数ステップが
細かい第2の信号発生器のサンプリング数の比を大きく
とることで、第1の信号発生器と第2の信号発生器のサ
ンプリング周波数比Nだけ、第2の信号発生器側の動作
速度を第1の信号発生器側の動作速度より下げて実行
し、更に消費電力を減らした周波数シンセサイザを実現
できる。
【図面の簡単な説明】
【図1】 本発明の実施の形態による周波数シンセサイ
ザの回路構成を示すブロック図である。
【図2】 本実施の形態による周波数シンセサイザにお
いて、スプリアスが従来の周波数シンセサイザより低減
される原理を説明する図である。
【図3】 本実施の形態に用いて有効な乗算器を用いな
いCICフィルタの構成示すブロック図である。
【図4】 図3に示すCICフィルタの入出力の周波数
特性を示す図である。
【図5】 他の実施形態による周波数シンセサイザの回
路構成を示すブロック図である。
【図6】 従来例のDDSにおけるスプリアスの発生原
理を示した図である。
【図7】 従来例のDDSのスプリアスの一例を示した
図である。
【図8】 従来例の周波数シンセサイザの構成を示した
図である。
【符号の説明】
11、16、63 加算器 12、17 位相レジスタ 13 ROM−A 14 ROM−B 15、58、59、61、62 乗算器 18 ROM−C 19 ROM−D 20、21 インタポレーションフィルタ 31 N倍アップサンプラ 32 ローパスフィルタ 57 ミキサ 60 減算器

Claims (11)

    【特許請求の範囲】
  1. 【請求項1】 量子化された任意の周波数の信号を発生
    する第1のディジタル信号発生器と、 前記第1のディジタル信号発生器より、周波数分解度が
    細かくスプリアスの多い第2のディジタル信号発生器
    と、 前記第2のディジタル信号発生器の出力に帯域制限を行
    うフィルタと、 前記第1のディジタル信号発生器の出力と、前記フィル
    タの出力を合成するミキサと、 を設けたことを特徴とする周波数シンセサイザ。
  2. 【請求項2】 与えられた周波数設定データ長がXビッ
    ト(Xは整数)のとき、 前記第1のディジタル信号発生器は、 与えられた周波数設定データを累積加算後のMSB側の
    Yビット(Yは整数)の位相データに対応する周波数信
    号を発生し、 前記第2のディジタル信号発生器は、 与えられた周波数設定データのLSB側のZビット(Z
    =X−Y)を有効とするXビットの信号を累積加算した
    位相データに対応する周波数信号を発生することを特徴
    とする請求項1に記載の周波数シンセサイザ。
  3. 【請求項3】 前記第1のディジタル信号発生器は、 前記第2のディジタル信号発生器の出力サンプリング周
    波数より、出力サンプリング周波数を高く設定し、 前記第2のディジタル信号発生器の出力サンプリング周
    波数を、前記第1のディジタル信号発生器の出力サンプ
    リング周波数に一致させるインタポレーションフィルタ
    を設け、 前記ミキサにより前記第1のディジタル信号発生器の出
    力と合成することを特徴とする請求項1、または請求項
    2に記載の周波数シンセサイザ。
  4. 【請求項4】 前記与えられた周波数設定データ長がX
    ビット、インタポレーション比がN(Nは整数)のと
    き、 前記第1のディジタル信号発生器は、 与えられた周波数設定データを累積加算後のMSB側の
    Yビット(Yは整数)の位相データに対応する周波数信
    号を発生し、 前記第2のディジタル信号発生器は、 与えられた周波数設定データのLSB側のZビット(Z
    =X−Y)を有効とするKビット(K=X−log2
    N)のデータをN倍し、更にこれを累積加算した位相デ
    ータに対応する周波数信号を発生することを特徴とする
    請求項3に記載の周波数シンセサイザ。
  5. 【請求項5】 前記インタポレーション比Nが正かつ2
    のべき乗のとき、 前記第2のディジタル信号発生器は、 与えられた周波数設定データのLSB側のZビット(Z
    =X−Y)を有効とするKビット(K=X−log2
    N)のデータを、log2NビットだけMSB側へシフ
    トし、更にこれを累積加算した位相データに対応する周
    波数信号を発生することを特徴とする請求項4に記載の
    周波数シンセサイザ。
  6. 【請求項6】 前記ディジタル信号発生器は、 与えられた周波数設定データ長が0ビットのとき、位
    相、及び振幅が一定の信号を出力することを特徴とする
    請求項1から請求項5のいずれかに記載の周波数シンセ
    サイザ。
  7. 【請求項7】 前記インタポレーションフィルタは、 CICフィルタ(Cascade Integrated Comb Filter)で
    あることを特徴とする請求項3から請求項6のいずれか
    に記載の周波数シンセサイザ。
  8. 【請求項8】 前記第1のディジタル信号発生器、及び
    前記第2のディジタル信号発生器は共にDDSであるこ
    とを特徴とする請求項1から請求項7のいずれかに記載
    の周波数シンセサイザ。
  9. 【請求項9】 前記DDSはテーブル読み出し方式によ
    る位相振幅変換テーブルを実現するROMを備え、 前記第1のディジタル信号発生器に対応するDDSにお
    ける位相演算ビット長とROMアドレスビット長の差
    は、前記第2のディジタル信号発生器に対応するDDS
    における位相演算ビット長とROMアドレスビット長の
    差よりも小さいことを特徴とする請求項8に記載の周波
    数シンセサイザ。
  10. 【請求項10】 前記第1のディジタル信号発生器に対
    応するDDSにおける出力ビット長は、前記第2のディ
    ジタル信号発生器に対応するDDSにおける出力ビット
    長よりも大きいことを特徴とする請求項8、または請求
    項9に記載の周波数シンセサイザ。
  11. 【請求項11】 前記フィルタ、または前記インタポレ
    ーションフィルタは、 前記第2のディジタル信号発生器の出力に、スプリアス
    の発生が許容される帯域幅以下の帯域制限を行うことを
    特徴とする請求項1から請求項10のいずれかに記載の
    周波数シンセサイザ。
JP2001058395A 2001-03-02 2001-03-02 周波数シンセサイザ Expired - Fee Related JP4536946B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2001058395A JP4536946B2 (ja) 2001-03-02 2001-03-02 周波数シンセサイザ
KR10-2002-0007187A KR100424652B1 (ko) 2001-03-02 2002-02-07 주파수 합성기
US10/085,825 US6664819B2 (en) 2001-03-02 2002-02-27 Frequency synthesizer for improving a unique DDS characteristic

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2001058395A JP4536946B2 (ja) 2001-03-02 2001-03-02 周波数シンセサイザ

Publications (2)

Publication Number Publication Date
JP2002271143A true JP2002271143A (ja) 2002-09-20
JP4536946B2 JP4536946B2 (ja) 2010-09-01

Family

ID=18918122

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001058395A Expired - Fee Related JP4536946B2 (ja) 2001-03-02 2001-03-02 周波数シンセサイザ

Country Status (3)

Country Link
US (1) US6664819B2 (ja)
JP (1) JP4536946B2 (ja)
KR (1) KR100424652B1 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010068033A (ja) * 2008-09-08 2010-03-25 Ricoh Co Ltd Fm送信回路及びオーバーサンプリング処理回路
JP2011151532A (ja) * 2010-01-20 2011-08-04 Nippon Dempa Kogyo Co Ltd 周波数ジェネレータ
JP2011172199A (ja) * 2010-01-20 2011-09-01 Nippon Dempa Kogyo Co Ltd ダイレクト・デジタル・シンセサイザ回路
JP2017188786A (ja) * 2016-04-06 2017-10-12 有限会社ファインチューン 数値制御波形発生器及びデジタル同期検波器

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007067557A (ja) * 2005-08-29 2007-03-15 Agilent Technol Inc 位相制御装置、周波数制御装置、発振装置、位相制御方法及び周波数制御方法
CN102158204B (zh) * 2010-12-22 2014-06-04 昆腾微电子股份有限公司 用于接收机的可编程数字信号发生器及其信号发生方法
US9093955B2 (en) * 2013-03-21 2015-07-28 Tektronix, Inc. Asynchronous time-interleaved waveform generator using harmonic mixing
CN113676179B (zh) * 2021-05-13 2024-05-14 中国地质大学(武汉) 一种数字正交混频器混频方法、混频器电路和混频器

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0923158A (ja) * 1995-07-07 1997-01-21 Mitsubishi Electric Corp 周波数シンセサイザ
JP2000124740A (ja) * 1998-10-19 2000-04-28 Mitsubishi Electric Corp 周波数シンセサイザ

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3568069A (en) * 1968-12-16 1971-03-02 Sanders Associates Inc Digitally controlled frequency synthesizer
US4977613A (en) * 1988-10-17 1990-12-11 Motorola, Inc. Fine tuning frequency synthesizer with feedback loop for frequency control systems
US5179348A (en) * 1991-08-22 1993-01-12 Interstate Electronics Corporation Progression of states numerically controlled oscillator
JP2526847B2 (ja) * 1993-05-24 1996-08-21 日本電気株式会社 ディジタル方式無線電話機

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0923158A (ja) * 1995-07-07 1997-01-21 Mitsubishi Electric Corp 周波数シンセサイザ
JP2000124740A (ja) * 1998-10-19 2000-04-28 Mitsubishi Electric Corp 周波数シンセサイザ

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010068033A (ja) * 2008-09-08 2010-03-25 Ricoh Co Ltd Fm送信回路及びオーバーサンプリング処理回路
JP2011151532A (ja) * 2010-01-20 2011-08-04 Nippon Dempa Kogyo Co Ltd 周波数ジェネレータ
JP2011172199A (ja) * 2010-01-20 2011-09-01 Nippon Dempa Kogyo Co Ltd ダイレクト・デジタル・シンセサイザ回路
JP2017188786A (ja) * 2016-04-06 2017-10-12 有限会社ファインチューン 数値制御波形発生器及びデジタル同期検波器

Also Published As

Publication number Publication date
KR20020070787A (ko) 2002-09-11
US20020130689A1 (en) 2002-09-19
KR100424652B1 (ko) 2004-03-25
US6664819B2 (en) 2003-12-16
JP4536946B2 (ja) 2010-09-01

Similar Documents

Publication Publication Date Title
Vankka et al. Direct digital synthesizers: theory, design and applications
KR101045110B1 (ko) 고속 주파수 호핑 확산 스펙트럼 기술에 기초한 셀룰러무선 통신 시스템용 직접 디지털 주파수 합성기
KR100407338B1 (ko) 수신기
JP2926615B2 (ja) Ssb信号発生器
Vankka Methods of mapping from phase to sine amplitude in direct digital synthesis
Ramírez et al. Fast RNS FPL-based communications receiver design and implementation
JPS5930308A (ja) 位相・振幅変換器
JP4536946B2 (ja) 周波数シンセサイザ
Langlois et al. Low power direct digital frequency synthesizers in 0.18/spl mu/m CMOS
JP2007525853A (ja) 広帯域ダイレクト・ディジタル・シンセサイザ
KR20030076299A (ko) 소프트웨어 무선기 및 이를 이용한 신호처리 방법
CN210839639U (zh) 一种fm调制信号的并行数字合成电路
CN110933006A (zh) 一种fm调制信号的并行数字合成方法及其电路
Mandal et al. Design and implementation of digital demodulator for frequency modulated cw radar
KR100233828B1 (ko) 직접 디지털 주파수 합성기
KR20000031136A (ko) 직접 디지털 주파수 합성기
RU2504891C1 (ru) Способ генерации выходной частоты цифрового синтезатора прямого синтеза
Cardoso et al. Direct Digital Synthesizer Using FPGA
JPH1131924A (ja) 直接ディジタル周波数シンセサイザ、位相同期形周波数シンセサイザ及び送受信装置
JP3505644B2 (ja) ダイレクト・デジタル・シンセサイザ
KR100218667B1 (ko) 고주파 디지탈 주파수 합성기
KR100295099B1 (ko) 삼각함수계산을이용한직접디지털주파수합성장치
Khilar et al. Design and analysis of direct digital frequency synthesizer
Lin et al. An Efficient Pipeline Direct Digital Frequency Synthesizer Based on a Novel Interpolation Algorithm
JPH05252213A (ja) ディジタルfm変調装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070227

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091201

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100301

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100518

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100617

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130625

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees