JP4351644B2 - 相変化材料を含む半導体構造 - Google Patents

相変化材料を含む半導体構造 Download PDF

Info

Publication number
JP4351644B2
JP4351644B2 JP2005077513A JP2005077513A JP4351644B2 JP 4351644 B2 JP4351644 B2 JP 4351644B2 JP 2005077513 A JP2005077513 A JP 2005077513A JP 2005077513 A JP2005077513 A JP 2005077513A JP 4351644 B2 JP4351644 B2 JP 4351644B2
Authority
JP
Japan
Prior art keywords
phase change
change material
region
memory
gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2005077513A
Other languages
English (en)
Other versions
JP2005268802A (ja
Inventor
ステファン・エス・ファーケイ
ヘンドリック・ハマン
ジェフリー・ビー・ジョンソン
チャン・エイチ・ラム
ホンサム・ピー・ウォン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2005268802A publication Critical patent/JP2005268802A/ja
Application granted granted Critical
Publication of JP4351644B2 publication Critical patent/JP4351644B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/685Hi-Lo semiconductor devices, e.g. memory devices
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C11/00Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor
    • G11C11/56Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using storage elements with more than two stable states represented by steps, e.g. of voltage, current, phase, frequency
    • G11C11/5678Digital stores characterised by the use of particular electric or magnetic storage elements; Storage elements therefor using storage elements with more than two stable states represented by steps, e.g. of voltage, current, phase, frequency using amorphous/crystalline phase transition storage elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C13/00Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00
    • G11C13/0002Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements
    • G11C13/0004Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements comprising amorphous/crystalline phase transition cells

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Semiconductor Memories (AREA)

Description

本発明は半導体装置に関し、より詳細には、電界効果トランジスタまたはバイポーラ・トランジスタあるいはその両方の電気特性を有する相変化材料を含むメモリ装置に関する。
相変化材料は、少なくとも2種類の異なる状態を示すことができ、それは非晶質状態または結晶状態であり得る。非晶質状態とは、より不規則な結晶構造のことである。これらの状態間の遷移は、選択的に引き起こすことができる。相変化は、可逆的に誘発(induce)することができる。特に興味深いのはカルコゲナイド合金であり、この合金は、元素周期表のVI族の元素を1種類または複数種類含んでいる。
相変化材料は、不揮発性メモリ装置のメモリ素子を形成するために、標準的なバルク・シリコン技術で使用される。各メモリ・セルは、相変化材料の結晶状態に対応して高抵抗率と低抵抗率の間で可逆的に変化する可変抵抗器とみなすことができる。非晶質状態は一般に、結晶状態よりも高い抵抗率を示すので、この両方の状態を区別することができる。相変化材料の各相をその抵抗によって区別することができるので、相変化材料を用いることによってデータの記憶が可能となる。
これらの装置では、メモリ装置内のメモリ素子の相変化は、大きなプログラム電流で相変化材料を直接的に加熱することにより達成される。相変化材料の直接的な加熱による大きなプログラム電流の供給には、従来、バイポーラ・トランジスタが用いられる。相変化材料への直接的な加熱は、大電流であるため、プログラム動作が繰り返されることによって相変化材料の劣化を引き起こし、そのためメモリ装置の性能を低減させることがある。
相変化材料を直接的に加熱することによって生じるメモリ装置の劣化を克服する相変化メモリ装置が必要である。それに加えて、メモリ・アレイ・レイアウトの最小メモリ・セル面積がさらに縮小された相変化メモリ装置が必要である。
本発明の一目的は、相変化材料を含むメモリ構造を提供することである。このメモリ構造では、相変化材料を間接的に加熱することによってメモリ構造の電気特性が制御される。本発明の別の目的は、ハイブリッド型金属酸化膜電界効果トランジスタ/バイポーラ・トランジスタを含む相変化メモリ・セルを提供することである。このメモリ・セルでは、相変化材料を間接的に加熱することで生じる相変化によって、ハイブリッド型電界効果トランジスタ/バイポーラ・トランジスタの電気特性が制御される。
本発明は、有利にはハイブリッド型MOSFET/バイポーラ・トランジスタを含むメモリ装置を提供する。このMOSFET装置のゲートは、メモリ・セルのメモリ素子として機能する相変化材料の薄い層と置き換えられる。広義には、本発明のメモリ装置は、
エミッタ、ベース、およびコレクタを有し、ベースが絶縁層に隣接する下面を有する、トランジスタと、
相変化材料でできているベース・コンタクトとを含む。
エミッタ領域、ベース領域、およびコレクタ領域は、シリコン・オン・インシュレータ基板のSOI層内に形成される。相変化材料の少なくとも一部分が、SOI層のベース部分の上面上に形成される。
Si含有上部層(SOI層)のトランジスタ部分中に大電流を印加することによって、相変化材料をその融点まで間接的に加熱する。この「大電流」という用語は、トランジスタの幅1マイクロメートルにつき約5.0ミリアンペア(mA/トランジスタの幅μm)程度の電流がトランジスタ中を流れることを意味する。相変化材料を急冷して固体へ戻すことによって、非晶質状態を有する相変化材料が得られる。SOI層のトランジスタ部分中により小さい電流を印加することによって、低い温度ではあるが結晶化遷移温度よりも高い温度まで相変化材料を間接的に加熱して、相変化材料を結晶状態に転換させる。この「小電流」という用語は、トランジスタの幅1マイクロメートルにつき約1.0ミリアンペア(mA/トランジスタの幅μm)程度の電流がトランジスタ中を流れることを意味する。上述した両方のプログラム電流の大きさは、約130nm以下の最小フィーチャー・サイズを有する半導体技法に適したものであり、フィーチャー・サイズが小さくなるとプログラム電流の大きさも小さくなる。相変化材料の結晶構造の変化は、装置の電気特性に影響を及ぼす。
相変化材料の異なる結晶状態によって生じる電気特性は、相変化材料の結晶状態に応じて電界効果トランジスタ(FET)またはバイポーラ接合トランジスタ(BJT)として機能する半導体装置を提供するように設定することができる。本発明の別の態様は、
第1のドープ領域を含み1組の第2のドープ領域がその両側に配置された基板と、
第1のドープ領域上に配置された相変化材料と、
相変化材料上に配置された導体とを含む半導体装置であり、相変化材料が第1の相のときには半導体構造がバイポーラ接合トランジスタ(BJT)として動作し、相変化材料が第2の相のときには半導体構造が電界効果トランジスタ(FET)として動作する。
具体的には、相変化材料の第1の相は結晶相(固相)である。結晶相の相変化材料は、第1のドープ領域と導体の間で低抵抗/高導電率のオーミック・コンタクトを形成し、その結果、装置がバイポーラ接合トランジスタ(BJT)と同様に機能する。バイポーラ接合トランジスタ(BJT)モード時の相変化材料の抵抗率は、約10.0ミリオーム・cm(mΩ・cm)未満である。相変化材料の第2の相は、非晶質相(固相)である。非晶質相の相変化材料は、導体と第1のドープ領域の間で高抵抗/低導電率のオーミック・コンタクトを形成し、相変化材料が電界効果トランジスタ(FET)のゲート誘電体と同様の働きをする。電界効果トランジスタ(FET)モード時の相変化材料の抵抗率は、約10.0キロオーム・cm(kΩ・cm)を超える。
本発明の別の態様は、上記のハイブリッド型MOSFET/バイポーラ接合トランジスタを有する相変化材料のメモリ装置を形成する方法である。広義には、本方法は、
SOI基板のSi含有層の第1の導電領域上に、誘電性スペーサがその両側に配置された犠牲ゲートを形成するステップと、
Si含有層内の第1の導電領域に当接させて第2の導電領域を形成するステップと、
ゲート・バイア(gate via)を設けるために犠牲ゲートを除去するステップと、
ゲート・バイアの少なくとも一部分内に相変化材料ライナを形成するステップと、
相変化材料ライナ上にゲート導体を形成するステップとを含む。
本発明の別の態様は、上記の相変化材料メモリ構造とロジック回路を集積化する方法である。広義には、この集積化方法は、
シリコン・オン・インシュレータ基板上に形成されたメモリ領域およびロジック領域を含み、ロジック領域が少なくとも1つのゲート領域を含み、メモリ領域が複数の犠牲ゲート領域を含む、初期構造を設けるステップと、
エクステンション領域用ドーパントをロジック領域に注入するステップと、
メモリ領域およびロジック領域上に、メモリ領域内の複数の犠牲ゲートおよびロジック領域内の少なくとも1つの犠牲ゲートの上面と同一平面をなす誘電体層を形成するステップと、
ロジック領域上にエッチ・ストップ層を形成するステップと、
ロジック領域をエッチ・ストップ層によって保護しながら、メモリ領域内の複数の犠牲ゲートから少なくとも1つの犠牲ゲートを除去してゲート・バイアを形成するステップと、
ゲート・バイアの少なくとも一部分内に相変化材料ライナを形成するステップと、
ゲート導体を相変化材料ライナの上に形成するステップと、
メモリ領域およびロジック領域に相互接続配線を提供するステップとを含む。
本発明は、相変化材料の結晶状態が間接的な加熱によって制御される、ハイブリッド型MOSFET/バイポーラ・トランジスタを含むメモリ装置を提供する。次に、本願に添付の図面を参照して、本発明をより詳細に述べる。添付の図面では、類似する要素または対応する要素を同様の参照番号で表してある。
まず図1を参照すると、相変化材料17を有するハイブリッド型金属酸化膜半導体電界効果トランジスタ(MOSFET)/バイポーラ接合トランジスタを含む相変化メモリ装置15が示されている。相変化材料17は、その結晶状態に応じて、MOSFETのゲート誘電体またはバイポーラ接合トランジスタのベース・コンタクトとして機能する。
相変化メモリ装置15はシリコン・オン・インシュレータ基板28上に形成され、基板28は、シリコン含有上部層16(以下SOI層とする)、絶縁層18、およびバルク・シリコン含有下部層29を含む。SOI層16は、第1のタイプのドープ領域20とその両側に位置する1組の第2のタイプのドープ領域19、21を含む。相変化メモリ装置がバイポーラ接合トランジスタと同様に動作しているときには、第1のタイプのドープ領域またはドーパント領域20はベース20と呼ぶことができ、1組の第2のタイプのドープ領域またはドーパント領域19、21は、通常、エミッタ19およびコレクタ21と呼ばれる。相変化材料のメモリ装置が金属酸化膜半導体電界効果トランジスタ(MOSFET)と同様に動作しているときには、第1のタイプのドーパント領域20はチャネル20と呼ぶことができ、1組の第2のタイプのドーパント領域19、21は、通常、ソース19およびドレイン21と呼ばれる。以下では使い分けせずに、メモリ装置の動作モードにかかわらず、SOI層16のドープされた領域をエミッタ19、ベース20、およびコレクタ21と呼ぶ。
引き続き図1を参照すると、相変化材料ライナ17は、上層のゲート22とSOI層16のベース部20との間に位置する。相変化材料ライナ17はまた、ゲート22を1組のスペーサ23から隔てており、各スペーサ23はゲート22の両側に位置している。相変化材料ライナ17は、カルコゲナイド合金を含むことができる。本明細書では「カルコゲナイド」という用語を、元素周期表のVI族の元素を少なくとも1種類含む合金または複合材料を示すものとして用いる。本発明で使用することができるカルコゲナイド合金の説明のための例には、TeまたはSeと、Ge、Sb、As、Siの各元素の少なくとも1つとの合金が含まれるが、それだけには限らない。
次に図2に示すバイポーラ接合トランジスタ(BJT)モードにおける相変化メモリ装置15の等価回路図を参照すると、相変化材料ライナ17は、可変抵抗器24としてハイブリッド型MOSFET/バイポーラ接合トランジスタ内に組み込むことができる。相変化材料の抵抗は、相変化材料ライナ17の結晶構造の変化に対応して変えることができるので、相変化材料ライナ17を可変抵抗器24として組み込むことができる。
具体的には、本メモリ装置の等価回路10は、ベース・コンタクト25、エミッタ・コンタクト26、およびコレクタ・コンタクト27を含む。ベース・コンタクト25は、装置のゲート22である。エミッタ・コンタクト26およびコレクタ・コンタクト27は、エミッタ・シリサイド領域およびコレクタ・シリサイド領域である。相変化材料ライナ17は可変抵抗器24として機能でき、ベース・コンタクト25とベース20の間には、可変抵抗器24を介して電気的接触が確保されなければならない。
相変化材料ライナ17は、非晶質状態または結晶状態を有することができる。非晶質状態では、相変化材料ライナ17は電気絶縁性であり、金属酸化膜半導体電界効果トランジスタ(MOSFET)のゲート誘電体と同様に機能する。結晶状態では、相変化材料ライナ17は導電性であり、バイポーラ・トランジスタのベース・コンタクトと同様に機能する。相変化材料ライナ17の結晶構造の変化に対応した導電率の変化により、図2の等価回路10に示す、ハイブリッド型MOSFET/バイポーラ接合トランジスタ内に組み込まれた可変抵抗器24がもたらされる。
次に図3に示すグラフを参照して、相変化材料ライナ17の抵抗率と結晶構造との関係を検討する。図3に示すGeSbTeの相変化材料ライナ17の測定値は、単に本発明の一実施形態を表したものであり、説明のために示したものにすぎないことに留意されたい。したがって本発明は、この実施形態に限定されるべきではない。
データ曲線は、GeSbTe(GST)の組成を有する相変化材料ライナ17の一実施形態の約25℃〜約275℃の温度範囲での抵抗率の測定値を示す。温度約25℃における開始時のGST材料は非晶質相にある。温度がT1、すなわち約125℃まで上昇するにつれて、GSTの抵抗率は約10.0Ω・cm(オーム・cm)から約20.0mΩ・cm(ミリオーム・cm)まで急速に下がる。GSTの温度をさらに約180℃よりも高いT2まで上昇させると、抵抗率は約2.0mΩ・cm(ミリオーム・cm)未満までさらに下がる。T1およびT2は、GSTの結晶化遷移温度を示しており、T1は非晶質相から面心立方晶(FCC)へと相変化する遷移温度を、T2は面心立方晶(FCC)から六方晶(Hex)へと相変化する遷移温度を表す。GSTの温度が融点(図3に示さず)よりも高くなるとGSTは融解し、急冷するとGSTは非晶質の固相に戻る。GSTの融点は、約620℃である。
より詳細に図3を参照すると、非晶質のGeSbTeの電気抵抗率は、約150℃の温度に加熱されると、約10Ω・cmから約2.0mΩ・cm(ミリオーム・cm)より上まで低下し、それに対応して非晶質状態から面心立方晶状態への相変化が起こる。図3のグラフに示すように、結晶から非晶質構造への相変化の結果、少なくとも4桁、好ましくは6桁も導電率が変化する。
上記のように、温度を変化させることにより、非晶質状態から結晶状態へまたは結晶状態から非晶質状態へと相変化材料ライナ17の結晶状態を可逆的に転換できる。相変化材料を直接的に加熱する従来の相変化メモリ装置とは大きく異なり、本発明は、相変化材料ライナ17を間接的に加熱することによって相変化材料の結晶状態を制御することができる。具体的には、本発明の好ましい一実施形態では、SOI層16中に電流を流し、それによってSOI層で生じた熱で相変化材料ライナ17を間接的に加熱することにより相変化を生じさせることができる。あるいは、相変化材料ライナ17を直接加熱することもできる。
図4は、リセット・モードにあるGST相変化材料ライナ17を組み込んだ本発明のメモリ装置の一実施形態の熱分析を示している。このモードでは、相変化材料メモリ装置をリセットすることによってGST材料は高抵抗の非晶質相に転換される。ベース・コンタクト25に印加する電圧は約1.5Vであり、コレクタ・コンタクト27に印加する電圧は約2.5Vである。SOI層16は、これらの電圧で30ナノ秒の期間、約700℃を超えるピーク温度まで加熱され、SOI層16から放射される熱によって、相変化材料が約25℃から約625℃を超えたところまで間接的に加熱される。
次に、図3に示した電気特性と同様の電気特性を有する相変化材料ライナ17を組み込んだメモリ装置の動作性能をより詳細に説明する。相変化材料ライナ17が、規則的な結晶配列の結晶構造を有し、低抵抗/高導電状態にあるときには、メモリ構造はバイポーラ接合トランジスタ(BJT)と同様の電気特性を示す。ゲート領域22はベース・コンタクト25と同様に機能し、高導電性の相変化材料ライナ17を介してSOI層16のベース領域20と電気的に接触する。相変化材料ライナ17の抵抗率は4桁よりも大きく変化し、約100.0Ω・cm(オーム・cm)から約2.0mΩ・cm(ミリオーム・cm)まで変化することが好ましい。
p型のSOI基板でp型のゲート/ベースの導体の場合、相変化材料ライナ17が、非晶質構造を有し高抵抗/低導電状態にあるときには、メモリ構造は例えば常時オンとなっているn型金属酸化膜半導体電界効果トランジスタ(NMOSFET)などの蓄積装置(accumulation device)と同様の電気特性を示す。相変化材料ライナ17の非晶質相は、約100.0Ω・cm(オーム・cm)よりも大きな抵抗率を有し、絶縁性となっている。蓄積装置モードは、ゲート材料22とSOI層16のベース部20との間の仕事関数の差によってもたらされる。したがって、非晶質状態の相変化材料ライナ17は、MOSFETのゲート誘電体と同様に機能する。
図5はさらに、GeSbTeの相変化材料ライナ17を組み込んだメモリ装置の電気特性を示す。図5は、非晶質の相変化材料ライナ17を有するメモリ装置および結晶質の相変化材料ライナ17を有するメモリ装置のコレクタ21で測定される電流(Icollector)を、各装置のベース・コンタクト25に印加される電圧(Vbase)の関数として示している。図5に示すこれらの電圧が相対的なものであること、また他の電圧も企図されており、それらは、相変化材料ライナ17の結晶状態に依存する電圧と電流の間の関係が維持される限り、この開示の範囲内にあることに留意されたい。さらに、図5に示す測定値は説明のために示したものにすぎず、したがって本発明はそれらに限定されるべきでないことに留意されたい。
図5を参照すると、ひし形のデータ点30でプロットされたデータは、ゲート22とSOI基板16のベース20との間に結晶相の材料ライナ17を有するメモリ装置の電流測定値を表す。ゲート22とベース20の間に結晶相のライナ17を有するメモリ装置のコレクタから取り出された電流測定値は、メモリ装置がバイポーラ接合トランジスタと同様に動作することを示す。円形データ点31によってプロットされたデータは、ゲート22とSOI層16のベース20との間に非晶質相の材料ライナ17を有するメモリ装置の電流測定値を表す。ゲート22とベース20の間に非晶質相のライナ17を有するメモリ装置のコレクタから取り出された電流測定値は、メモリ装置がMOSFETなどの蓄積装置と同様に動作することを示す。
引き続き図5を参照すると、約−1.0Vの電圧では、円形のデータ点31で示した、非晶質状態の相変化材料ライナ17を有するメモリ装置と、ひし形のデータ点30で示した、結晶状態の相変化材料ライナ17を有するメモリ装置とは、どちらも10−9mA/μm以下のIcollector測定値を有する。この電流では、どちらのメモリ装置も「オフ」状態にあると読める。+1.0Vの電圧では、円形のデータ点31で示した、非晶質状態の相変化材料ライナ17を有するメモリ装置と、ひし形のデータ点30で示した、結晶状態の相変化材料ライナ17を有するメモリ装置とは、どちらも1.0mA/μm程度のIcollector測定値を有する。この電流では、どちらのメモリ装置も「オン」状態にあると読める。
0.0Vの電圧では、非晶質の相変化材料ライナ17を有するメモリ装置と、結晶質の相変化材料ライナ17を有するメモリ装置とではオン/オフ状態が異なる。0.0Vの電圧では、非晶質の相変化材料ライナ17を有するメモリ装置のIcollector測定値31は約10-4mA/μm程度であり、「オン」状態にあると読めるようにメモリ装置を設定できる。0.0Vの電圧では、結晶質の相変化材料ライナ17を有するメモリ装置のIcollector測定値30は、約10-8mA/μm程度であり、「オフ」状態にあると読めるようにメモリ装置を設定できる。
引き続き図5を参照すると、結晶状態の相変化材料ライナ17が組み込まれたメモリ装置によってバイポーラ接合トランジスタと同様の電気特性を有する装置がもたらされる。この装置の電圧が、MOSFETと同様の電気特性を有する非晶質の相変化材料ライナ17が組み込まれた同様のメモリ装置と比べて低い場合には、この装置は「オフ状態」のままである。非晶質の相変化材料ライナ17のメモリ装置では、その電圧が、結晶質の相変化材料ライナ17を有するメモリ装置の電圧よりも低い場合には、一部にはゲート22と下層のSOI層16との間の仕事関数の差が原因で「オン状態」となる。この状態の相変化材料ライナ17は、MOSFETのゲート誘電体と同様に機能する。
好ましい一実施形態では、p+ポリシリコンまたはタングステンのゲート22が、p型シリコンのベース20上に位置する非晶質の相変化材料ライナ17上に配置された場合、メモリ装置は通常「オン」となり、電圧がゲート22に印加されていないときにも、ゲート22とベース20との仕事関数の差によってメモリ装置は導通することになる。しかし、相変化材料17が結晶状態では、ゲート22は、非常に低抵抗/高伝導性の抵抗器を介して、直接ベースと電気的に接触する。したがって、ベース20とゲート22との間の仕事関数の差に関係なく、ベースをうまく制御できるようになる。
図5に示した装置の電気特性と同様の電気特性を有する相変化材料のメモリ装置15を使用して以下の動作を行うことができる。以下の動作は、単に本発明の一実施形態を示しているにすぎず、本発明はそれに限定されるべきでないことに留意されたい。以下の動作は、単に説明のためにのみ示すものである。
メモリ装置が「0」を書き込むリセット動作は、ベース・コンタクト25に1.5ボルト程度、コレクタ・コンタクト27に2.5ボルト程度、エミッタ・コンタクト26に0.0ボルトの電圧を、約20ナノ秒間印加することによって実施できる。リセット動作中、相変化材料ライナ17は、約650℃程度の高温まで間接的に加熱され、非晶質の結晶構造を得るために急冷される。
メモリ装置が「1」を書き込むセット動作は、ベース・コンタクト25に1.0ボルト程度、コレクタ・コンタクト27に2.5ボルト程度、エミッタ・コンタクト26に0.0ボルトの電圧を印加することによって実施することができる。セット動作中、相変化材料ライナ17は、約300℃〜約400℃の範囲の温度まで、相変化材料ライナ17の温度をゆっくりと上昇させて間接的に加熱され、それによって結晶構造が作られる。
読み出し動作は、ベース・コンタクト25に0.0ボルト、コレクタ・コンタクト27に0.5ボルト、エミッタ・コンタクト26に0.0ボルトを印加することによって実施することができる。相変化材料ライナ17の結晶構造は、読み出し動作による影響を受けない。
次に、図1に示したメモリ装置をメモリー・ロジック・アレイへ集積する方法を、図6〜図15において説明する。好ましい一実施形態では、ロジック領域35は少なくとも1つのNMOSFETを含むことができ、メモリ領域40は少なくとも1つのハイブリッド型NMOSFET/PNPバイポーラ・トランジスタを含む。ロジック領域35は、さらにPMOSFET装置を含むことができる。あるいは、ロジック領域35は少なくとも1つのPMOSFETを含むことができ、メモリ領域40は少なくとも1つのハイブリッド型PMOSFET/NPNバイポーラ・トランジスタを含む。
まず図6を参照すると、一方向4F、すなわちx方向に約4.0のフィーチャー・サイズを有し、第2の方向3F、すなわちy方向に約3.0のフィーチャー・サイズを有するメモリ・アレイのレイアウトが示されている(トップ・ダウン図)。各メモリ・アレイ領域は、分離領域によって隣接するメモリ・アレイ領域から隔てられる。アクティブなSOI領域(Rx)85は、アクティブなSOI領域(Rx)の外側の領域、すなわち浅いトレンチ分離領域90によって互いに隔てられる。基板上のゲート領域(PC)は、参照番号86で示されている。コレクタおよびベース・コンタクト(CA)領域は、正方形の領域87で示されている。エミッタ/ソースは各列の全てのメモリ・セルに共通であり、金属層(M1)88によって電気的に接続される。
次に図7を参照すると、図示された初期構造は、ロジック領域35およびメモリ領域40を有し、それらは、埋め込み絶縁層18上にSOI層16を有するシリコン・オン・インシュレータ基板28上に形成されている。ロジック領域35は少なくとも1つのゲート領域36を含み、メモリ領域40は複数の犠牲ゲート領域37を含む。
シリコン・オン・インシュレータ基板28は、当業者には周知の技法を使用して製造される。例えば、シリコン・オン・インシュレータ基板28は、熱接着プロセスまたは層転写によって形成することができる。また、シリコン・オン・インシュレータ構造は、当技術分野では酸素注入分離技術(SIMOX)と呼ばれる酸素注入プロセスによって形成することができる。
SOI層16は、厚さ約20nm〜約70nmの範囲のSi含有層にすることができる。本明細書で使用する「Si含有層」という用語は、シリコンを含む任意の半導体材料を意味する。本発明で使用できる様々なSi半導体材料の例には、Si、SiGe、SiGeC、SiC、およびその他の同様なSi含有材料が含まれるが、それだけには限らない。上記の半導体材料の組合せも、シリコン・オン・インシュレータ基板28のSi含有層として使用することができる。埋め込み絶縁層18は一般に、厚さ約150nm〜約200nmの範囲の埋め込み酸化物領域である。埋め込み絶縁層18の下にあるバルクSi層29の厚さは、本発明にとって重要ではない。
次いでロジック部35内およびメモリ・アレイ40内のゲート領域36、37を、SOI層16上に形成する。ゲート領域36、37は、従来のフォトリソグラフィおよびエッチングを使用して形成する。ゲート領域36、37は、ゲート誘電体39、41の上に、少なくとも1つのゲート電極38、42を含む。まずゲート誘電体材料39、41を形成し、続いてゲート電極材料38、42を形成する。次いでゲート領域36、37を、フォトリソグラフィおよびエッチングによってパターン付けする。ゲート電極38、42はポリシリコンであることが好ましい。ゲート電極38、42は、金属元素、金属合金、または金属シリサイドを含むがそれだけには限らない他の導体によって構成することもできる。
ゲート誘電体39、41は、SiOまたはSiなどの従来の誘電体材料、あるいはTa、Zr、Alの酸化物またはそれらの組合せなどの高誘電率誘電体にすることができる。一般的に、ゲート誘電体39、41の材料は、厚さ約1nm〜約10nmである。ゲート誘電体39、41は、厚さ約1nm〜約2.5nmのSiOを含むことが好ましい。
ゲート領域36、37の形成後、1組の第1のスペーサ43をゲート領域36、37に当接させて形成する。第1のスペーサ43は、当技術分野では周知の、従来の付着およびエッチングの技法を使用して形成する。第1のスペーサ43の材料には、窒化物、酸化物、酸窒化物、またはそれらの組合せなどの誘電体を含めることができる。第1のスペーサ43は、厚さを約6nm〜約12nmの範囲とすることができる。第1のスペーサ43は酸化物材料であることが好ましい。
次の処理ステップでは、従来のフォトレジスト塗布およびパターン付けを使用して、メモリ・ブロック・マスク44を装置のメモリ領域40上に配置する。より具体的には、構造物全体の上にフォトレジストの層を付着させる。次いでフォトレジスト層を選択的にパターン付けし、現像してブロック・マスク44を形成し、それによって基板のメモリ領域40を保護しつつロジック領域35を露出させる。
次いで、露出したロジック領域35を処理してエクステンション領域45を形成する。この間、ブロック・マスク44の下のメモリ領域は保護される。エクステンション領域45は、従来のイオン注入法を使用して形成する。ロジック領域35は、少なくとも1つのNMOSFET(n型チャネルのMOSFET)を形成するように処理することが好ましく、装置のエクステンション領域45にはp型注入物が注入される。一般に、p型のエクステンション領域45はIII族の元素を使って作製される。p型注入物の場合、不純物種は、一般にホウ素またはBFである。ドーズ量5×1013原子/cm〜約3×1016原子/cmのホウ素またはBFをp型領域に注入することができ、ホウ素の場合には約0.2keV〜約3.0keVのエネルギーを、BFの場合には約1.0keV〜約15.0keVのエネルギーを用いる。
あるいは、装置のロジック領域35は、少なくとも1つのPMOSFET(p型チャネルのMOSFET)を含むことができ、PMOSFETのエクステンション領域にはn型注入物が注入される。一般に、n型注入物はV族の元素であり、ヒ素であることが好ましい。n型領域には、約0.25keV〜5.0keVのエネルギーを使用して約3×1013原子/cm〜約3×1016原子/cmのドーズ量のヒ素を注入することができる。
本発明の別の実施形態では、装置のロジック領域35は、NMOSFETおよびPMOSFETを両方含むことができる。ここでは、装置のエクステンション領域45はソース/ドレイン注入ブロック・マスク(図示せず)を使用して選択的に処理し、装置のメモリ領域40はメモリ領域ブロック・マスク44によって保護する。
図8を参照すると、エクステンション領域45の形成に続き、化学的除去手段を使用してメモリ・ブロック・マスク44を除去する。次の処理ステップでは、基板のロジック領域35内およびメモリ領域40内の第1組のスペーサ43に当接させて第2組のスペーサ47を形成する。
次いで従来の注入処理ステップを使って、装置のロジック領域35およびメモリ領域40の両領域内にソースおよびドレイン領域46を注入する。このステップでは、ソース/ドレイン・ブロック・マスク(図示せず)を使って、n型領域およびp型領域を選択的に処理する。メモリ領域40内のメモリ装置のソースおよびドレイン領域46と、ロジック領域35内のNMOSFETに、p型不純物を同時に注入することが好ましい。メモリ領域40内に形成されるソースおよびドレイン領域46は、エミッタおよびコレクタと呼ぶこともできる。
p型領域への典型的な注入物は、ホウ素またはBFとすることができる。p型ソース/ドレイン領域46には、約1.0keV〜約8.0keVのエネルギーを使用して、約1×1015原子/cm〜約7×1015原子/cmのドーズ量のホウ素を注入することができる。p型ソース/ドレイン領域46には、約5.0keV〜約40.0keVの注入エネルギーを使用して、約1×1015原子/cm〜約7×1015原子/cmのドーズ量のBFを注入することもできる。
n型ソース/ドレイン領域46への注入物は、リンまたはヒ素とすることができる。n型ソース/ドレイン領域46には、約3.0keV〜約15.0keVのエネルギーを使用して、約1×1015原子/cm〜約7×1015原子/cmのドーズ量のリンを注入することができる。n型ソース/ドレイン領域46には、約6.0keV〜30.0keVのエネルギーを使用して、約1×1015原子/cm〜約7×1015原子/cmのドーズ量のヒ素を注入することもできる。
引き続き図8を参照すると、次にソース/ドレイン・エクステンション領域45上、ソース/ドレイン領域46上、およびゲート領域36、37上にシリサイド領域48を形成する。シリサイド領域を形成するには、一般に、シリコン含有表層の露出表面上にNi、Co、W、Pt、またはTiなどのシリサイド金属(およびそれらの合金)を付着させる必要がある。金属を付着させる前に、シリサイド領域48を形成する表面から残留酸化物およびその他の汚染物質を除去するために、ウエット洗浄プロセスを使って前洗浄を行うことができる。金属の付着に続き、急速熱アニールなどの従来の方法を使用するアニール・ステップをこの構造物に対して実施するが、それだけには限らない。熱アニール中、付着した金属は、Siと反応して金属シリサイドを形成する。
図9では、誘電体層49、すなわちSiOは、テトラエチル・オルトシリケート(TEOS)前駆体から付着したものである。誘電体層49は、プラズマTEOS(plasma enhanced TEOS)またはプラズマCVD(plasma enhanced CVD)を使って約600℃未満の温度、好ましくは約400℃で付着させることが好ましい。次いで、化学機械研磨(CMP)などの従来の平坦化技術を使って、ロジック領域35内およびメモリ領域40内のゲート領域36、37の上にあるシリサイドの接触部48の上面が露出するまで、誘電体層49を平坦化する。
図10を参照すると、次に、ロジック領域35およびメモリ領域40を含む構造物全体の上に、誘電体膜51を付着させる。誘電体膜51は、窒化物材料または酸窒化物材料とすることができる。好ましい一実施形態では、窒化物層51は、Siを含み、厚さ約10nm程度である。次いでロジック領域ブロック・マスク52をロジック領域35上に形成する。一方、メモリ領域40は露出している。次いで、誘電体膜51をメモリ領域40からエッチング除去する。このとき、ロジック領域ブロック・マスク52の下にある誘電体膜51の部分は保護される。誘電体膜51を、低エネルギーの等方性エッチングを使ってエッチングする。エッチング用化学薬品は、下にある誘電体層49に対して選択的であり、CFまたはCFあるいはその両方を含むことが好ましい。
次に図11を参照すると、誘電体膜51のエッチングに続き、化学的除去手段を使ってロジック領域ブロック・マスク52を除去する。次の処理ステップでは、メモリ・アレイ領域40のゲート領域37上の露出したシリサイド領域48を、反応性イオン・エッチングなどの従来のエッチング・プロセスによって除去する。このとき、ロジック領域35は、誘電体膜51の残りの部分によって保護される。シリサイド・エッチング用の化学薬品は、誘電体層49のTEOS酸化物および誘電体膜51の窒化シリコン(Si)に対して選択的にシリサイドを除去することが好ましい。シリサイド・エッチング用の化学薬品は、HFなどのフッ素含有種を含むことが最も好ましい。
シリサイドのエッチングに続いて、反応性イオン・エッチングなどの従来のエッチング・プロセスを使って、メモリ領域40から犠牲ゲート領域37を除去する。犠牲ゲートのエッチングは、誘電体層49のTEOS酸化物および誘電体膜51の窒化シリコン(Si)をエッチングすることなしに、ポリシリコンの犠牲ゲート領域37を選択的に除去することが好ましい。犠牲ゲートのエッチングは、水酸化カリウム(KOH)のエッチング用化学薬品を含むことが最も好ましく、その場合、エッチング・プロセスはゲート誘電体41上で終了する。
次いで、下にあるSOI層16を実質的にエッチングしない選択的なエッチング・プロセスを使って、装置のメモリ領域40内のゲート領域37からゲート誘電体41を除去する。ゲート誘電体のエッチングは、誘電体層49のTEOS酸化物および誘電体膜51の窒化シリコン(Si)をエッチングすることなく、ゲート誘電体41の二酸化シリコン(SiO)を選択的に除去することが好ましい。
一実施形態では、ゲート誘電体の酸化物は、比較的低圧(6ミリトール以下)のHFおよびNHの蒸気中で実施する化学的酸化物除去(COR)プロセスによって除去することができ、下にあるSOI層16に損傷を与えることなく、酸化物ゲート誘電体の一部分を除去する。あるいは、反応性イオン・エッチングおよび高密度プラズマ・エッチングを含めたドライ・エッチング・プロセスを使ってゲート誘電体41を除去することもできるが、それだけには限らない。下にあるSOI層16に損傷を与えずにゲート誘電体41が確実に除去されるように、終点検出方法を使って、エッチング・プロセスを時間調節またはモニタすることができる。次いで、ゲート誘電体49の除去によって露出したSOI層16の部分を、NHOH/H/HOまたはHCl/H/HOの化学薬品の混合物を使用してウエット洗浄する。
図12に示すように、次いで相変化材料ライナ17を、ロジック領域35およびメモリ領域40上に付着させる。相変化材料ライナ17は、スパッタ法および化学気相成長法(CVD)を含むが、それだけには限らない低温付着プロセスを使って、600℃未満の温度で付着させることができる。相変化材料ライナ17は、カルコゲナイド合金を含むことができる。カルコゲナイド合金には、硫黄(S)、セレニウム(Se)、およびテルル(Te)を含むが、それだけには限らない元素周期表のVI族の元素を含む合金が含まれる。相変化材料ライナ17は、ゲルマニウム(Ge)およびアンチモン(Sb)を含むこともできる。一実施形態では、相変化材料17は、GeSbTe、最も好ましくはGeSbTe(GST)を含む。さらに、相変化材料ライナ17は、厚さ約10nm〜約30nmの範囲とすることができ、20nmであることが好ましい。
引き続き図12を参照すると、次に低温形成法を使って、相変化材料ライナ17上にポリシリコン層55を付着させる。低温形成法には、600℃未満の温度でポリシリコン層55を形成するプラズマ化学気相成長法を含むが、それだけには限らない任意の方法が含まれる。付着されるポリシリコン層55の厚さは、約450nm〜約650nmの範囲にすることができ、ゲート長L1が90nmにつき約50nmとなることが好ましい。
次いでポリシリコン層55にドーピングを行い、ゲート導体22に適した導電材料にする。イオン注入によってドーパントを導入することができ、またドープ済みのポリシリコン層55をその場でドープすることもできる。好ましいハイブリッド型NMOSFET/PNPバイポーラ・トランジスタを形成する場合には、p型のポリシリコン層55を製作するために、III族の元素、すなわちホウ素をポリシリコン層55に注入する。ホウ素の典型的な注入ドーズ量は、約4.0keV〜約10.0keVの注入エネルギーで、約1×1015原子/cm〜約5×1015原子/cmの範囲である。
一方、ハイブリッド型PMOSFET/NPNバイポーラ・トランジスタを形成する場合には、n型ポリシリコン層55を形成するために、V族の元素、すなわちヒ素またはリンをポリシリコン層55に注入する。ポリシリコン層55へのヒ素の典型的な注入ドーズ量は、約10.0keV〜約30.0keVの範囲の注入エネルギーで、約1×1015原子/cm〜約5×1015原子/cmの範囲である。リンの典型的な注入ドーズ量は、約5.0keV〜約20.0keVの範囲の注入エネルギーで、約1×1015原子/cm〜約5×1015原子/cmの範囲である。
次いで、化学機械研磨(CMP)など従来の方法により、図12に示す構造物の上面を平坦化して、ロジック領域から相変化材料ライナ17およびポリシリコン層55を除去し、誘電体層51上で止める。
図13を参照すると、次にメモリ領域40上に金属シリサイド61の層を形成する。まず、低温付着金属層を、ロジック領域35およびメモリ領域40上に付着させる。低温付着金属には、約600℃未満の温度で付着させることができる、Niなどの金属が含まれる。低温付着金属層は、スパッタ付着法によって付着させることが好ましい。次いで、低温付着金属層を金属シリサイド層61に転換させるために、低温付着金属層をアニールすることができる。アニール中、付着した金属は、Siと反応して金属シリサイドを形成する。
任意選択で、金属シリサイド61の層および誘電体膜51の残りの部分を、従来の平坦化技術またはエッチング・プロセスによって、ロジック領域35から除去することができる。図14に示すように、このプロセスは誘電体層49上で終了する。一実施形態では、誘電体膜51が残る。
図15を参照すると、次の処理ステップでは、基板全体に上部誘電体層62を付着させ、平坦化する。上部誘電体層62は、SiO、Si、SiO、SiC、SiCO、SiCOH、SiCH化合物などのシリコン含有材料;前述のシリコン含有材料のSiの一部または全部をGeで置き換えた材料;炭素ドープ酸化物;無機酸化物;無機ポリマー;ハイブリッド・ポリマー;ポリアミドやSiLK(TM)などの有機ポリマー;その他のカーボン含有材料;スピンオン・ガラス(spin-on glass)やシルセスキオキサン(silsesquioxane)をベースとする材料などの有機無機材料;およびダイヤモンド状炭素(DLC、別名非晶質水酸化炭素、α−C:H)からなる群から選択することができる。上部誘電体62の追加の選択肢には、前記の材料で多孔質の形のものや、処理中に多孔質もしくは浸透性またはその両方に変化する、あるいは多孔質もしくは浸透性またはその両方から非多孔質もしくは非浸透性またはその両方に変化する形のものが含まれる。
上部誘電体62は、当業者には周知の、溶液スピン法、溶液噴霧法、化学気相成長法(CVD)、プラズマ化学気相成長法(PECVD)、スパッタ付着法、反応性スパッタ付着法、イオン・ビーム付着法、および蒸着法を含むが、それだけには限らない様々な方法によって形成することができる。
次いで、基板の様々なソース/ドレイン領域およびゲート導体領域にバイア・ホール63を形成するために、上部誘電体62をパターン付けし、エッチングする。バイア63の形成に続いて、スパッタ法やめっきなどの従来の方法を使ってバイア・ホール63内に伝導性金属を付着させることによって、相互接続部64を形成する。伝導性金属には、タングステン、銅、アルミニウム、銀、金、およびそれらの合金などが含まれ得るが、それだけには限らない。
本発明の好ましい実施形態に関して本発明を詳細に示し説明してきたが、本発明の趣旨および範囲から逸脱することなく、形状および細部に上記その他の変更を行えることは、当業者には明らかであろう。したがって本発明は、ここで説明し図示した厳密な形状および細部に限定されず、添付の特許請求の範囲に含まれることが意図されている。
基板のドープされた部分上に相変化材料を含む半導体装置の(断面)図である。 ベース・コンタクトと基板の間に配置された相変化材料を含む半導体装置の等価回路図である。 相変化材料の抵抗率(Ω・cm)とアニール/プログラム温度(℃)の関係を示すグラフである。 相変化材料の下にあるSOI層に電流を印加したときの半導体装置の熱分析を示す(断面)図である。 非晶質相の相変化材料を有する半導体装置および結晶相の相変化材料を有する半導体装置の各ベースに電圧を印加したとき、各半導体装置のコレクタで測定される電流(mA/μm)のプロットを示すグラフである。 相変化材料を有する半導体装置を組み込んだメモリ/アレイ・レイアウトを示す図である。 メモリ/ロジック装置のメモリ領域内に相変化材料が組み込まれた半導体装置を形成する方法を示す(断面)図である。 メモリ/ロジック装置のメモリ領域内に相変化材料が組み込まれた半導体装置を形成する方法を示す(断面)図である。 メモリ/ロジック装置のメモリ領域内に相変化材料が組み込まれた半導体装置を形成する方法を示す(断面)図である。 メモリ/ロジック装置のメモリ領域内に相変化材料が組み込まれた半導体装置を形成する方法を示す(断面)図である。 メモリ/ロジック装置のメモリ領域内に相変化材料が組み込まれた半導体装置を形成する方法を示す(断面)図である。 メモリ/ロジック装置のメモリ領域内に相変化材料が組み込まれた半導体装置を形成する方法を示す(断面)図である。 メモリ/ロジック装置のメモリ領域内に相変化材料が組み込まれた半導体装置を形成する方法を示す(断面)図である。 メモリ/ロジック装置のメモリ領域内に相変化材料が組み込まれた半導体装置を形成する方法を示す(断面)図である。 メモリ/ロジック装置のメモリ領域内に相変化材料が組み込まれた半導体装置を形成する方法を示す(断面)図である。
符号の説明
10 等価回路
15 相変化メモリ装置
16 シリコン含有上部層(SOI層)
17 相変化材料ライナ
18 絶縁層
19 エミッタ、ソース
20 ベース、チャネル
21 コレクタ、ドレイン
22 ゲート
23 スペーサ
24 可変抵抗器
25 ベース・コンタクト
26 エミッタ・コンタクト
27 コレクタ・コンタクト
28 シリコン・オン・インシュレータ基板
29 バルク・シリコン含有下部層
30 データ点
31 データ点
35 ロジック領域
36 ゲート領域
37 犠牲ゲート領域
38 ゲート電極
39 ゲート誘電体
40 メモリ領域
41 ゲート誘電体
42 ゲート電極
43 第1のスペーサ
44 メモリ・ブロック・マスク
45 ソース/ドレイン・エクステンション領域
46 ソース/ドレイン領域
47 第2のスペーサ
48 シリサイド領域
49 誘電体層
51 誘電体膜
52 ロジック領域ブロック・マスク
55 ポリシリコン層
61 金属シリサイド
62 上部誘電体層
63 バイア・ホール
64 相互接続部
85 SOI域(Rx)
86 ゲート領域(PC)
87 コレクタおよびベース・コンタクト(CA)領域
88 金属層(M1)
90 トレンチ分離領域

Claims (4)

  1. 第1のドープ領域とその両側に位置する1組の第2のドープ領域とを含む基板と、
    前記第1のドープ領域上に配置された相変化材料と、
    前記相変化材料上に配置された導体とを含む半導体構造であって、前記相変化材料が第1の相のときには前記半導体構造がバイポーラ接合トランジスタとして動作し、前記相変化材料が第2の相のときには前記半導体構造が電界効果トランジスタとして動作する、半導体構造
  2. 前記相変化材料が、カルコゲナイド合金を含む、請求項1に記載の半導体構造
  3. 前記第1の相が結晶固相を含み、前記第2の相が非晶質固相を含む、請求項1に記載の半導体構造
  4. 前記相変化材料が、前記基板から放射される熱によって前記第1の相または前記第2の相に相変化される、請求項1に記載の半導体構造
JP2005077513A 2004-03-18 2005-03-17 相変化材料を含む半導体構造 Expired - Fee Related JP4351644B2 (ja)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/708,667 US7005665B2 (en) 2004-03-18 2004-03-18 Phase change memory cell on silicon-on insulator substrate

Publications (2)

Publication Number Publication Date
JP2005268802A JP2005268802A (ja) 2005-09-29
JP4351644B2 true JP4351644B2 (ja) 2009-10-28

Family

ID=34986881

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2005077513A Expired - Fee Related JP4351644B2 (ja) 2004-03-18 2005-03-17 相変化材料を含む半導体構造

Country Status (3)

Country Link
US (1) US7005665B2 (ja)
JP (1) JP4351644B2 (ja)
CN (1) CN100399599C (ja)

Families Citing this family (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100505709B1 (ko) * 2003-09-08 2005-08-03 삼성전자주식회사 상 변화 메모리 장치의 파이어링 방법 및 효율적인파이어링을 수행할 수 있는 상 변화 메모리 장치
US8363446B2 (en) * 2005-01-10 2013-01-29 Ovonyx, Inc. Multilevel variable resistance memory cell utilizing crystalline programming states
US7923724B2 (en) * 2005-01-10 2011-04-12 Ovonyx, Inc. Phase change memory that switches between crystalline phases
JP4884784B2 (ja) * 2005-01-28 2012-02-29 株式会社半導体エネルギー研究所 半導体装置の作製方法及び半導体装置
US20060172067A1 (en) 2005-01-28 2006-08-03 Energy Conversion Devices, Inc Chemical vapor deposition of chalcogenide materials
KR100962623B1 (ko) * 2005-09-03 2010-06-11 삼성전자주식회사 상변화 물질층 형성 방법, 이를 이용한 상변화 메모리 유닛및 상변화 메모리 장치의 제조 방법
CN101292299B (zh) * 2005-10-17 2013-02-06 瑞萨电子株式会社 半导体器件
JP4950490B2 (ja) * 2005-12-28 2012-06-13 株式会社東芝 不揮発性スイッチング素子およびその製造方法ならびに不揮発性スイッチング素子を有する集積回路
CN100517065C (zh) * 2006-03-01 2009-07-22 中国科学院上海微系统与信息技术研究所 一种制作相变存储器用的湿法刻蚀液及其湿法刻蚀工艺
EP1994555A4 (en) 2006-03-10 2009-12-16 Advanced Tech Materials PRECURSOR COMPOSITIONS FOR STORING ATOMIC LAYERS AND CHEMICAL PREVENTION OF TITANIUM, LANTHANATE AND DIELECTRIC TANTALATE FILMS
US8288198B2 (en) * 2006-05-12 2012-10-16 Advanced Technology Materials, Inc. Low temperature deposition of phase change memory materials
KR100871880B1 (ko) * 2006-05-30 2008-12-03 삼성전자주식회사 상 변화 메모리 장치의 메모리 셀 내의 상 변화 물질의일부를 리셋하기 위한 리셋 전류를 감소시키는 방법 및 상변화 메모리 장치
US7473921B2 (en) * 2006-06-07 2009-01-06 International Business Machines Corporation Nonvolatile memory cell with concentric phase change material formed around a pillar arrangement
JP4865433B2 (ja) * 2006-07-12 2012-02-01 ルネサスエレクトロニクス株式会社 半導体装置及びその製造方法
KR101097112B1 (ko) 2006-11-02 2011-12-22 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 금속 박막의 cvd/ald용으로 유용한 안티몬 및 게르마늄 착체
US7859036B2 (en) * 2007-04-05 2010-12-28 Micron Technology, Inc. Memory devices having electrodes comprising nanowires, systems including same and methods of forming same
KR101593352B1 (ko) * 2007-06-28 2016-02-15 인티그리스, 인코포레이티드 이산화규소 간극 충전용 전구체
US7795605B2 (en) * 2007-06-29 2010-09-14 International Business Machines Corporation Phase change material based temperature sensor
US8455049B2 (en) * 2007-08-08 2013-06-04 Advanced Technology Materials, Inc. Strontium precursor for use in chemical vapor deposition, atomic layer deposition and rapid vapor deposition
US20090087561A1 (en) * 2007-09-28 2009-04-02 Advanced Technology Materials, Inc. Metal and metalloid silylamides, ketimates, tetraalkylguanidinates and dianionic guanidinates useful for cvd/ald of thin films
US8834968B2 (en) 2007-10-11 2014-09-16 Samsung Electronics Co., Ltd. Method of forming phase change material layer using Ge(II) source, and method of fabricating phase change memory device
KR101458953B1 (ko) 2007-10-11 2014-11-07 삼성전자주식회사 Ge(Ⅱ)소오스를 사용한 상변화 물질막 형성 방법 및상변화 메모리 소자 제조 방법
SG152203A1 (en) * 2007-10-31 2009-05-29 Advanced Tech Materials Amorphous ge/te deposition process
WO2009059237A2 (en) * 2007-10-31 2009-05-07 Advanced Technology Materials, Inc. Novel bismuth precursors for cvd/ald of thin films
WO2009066204A1 (en) * 2007-11-22 2009-05-28 Nxp B.V. Charge carrier stream generating electronic device and method
JP5557421B2 (ja) * 2007-11-26 2014-07-23 ピーエスフォー ルクスコ エスエイアールエル 相変化型不揮発メモリ、その製造方法および半導体装置
US7883931B2 (en) 2008-02-06 2011-02-08 Micron Technology, Inc. Methods of forming memory cells, and methods of forming programmed memory cells
US20090215225A1 (en) 2008-02-24 2009-08-27 Advanced Technology Materials, Inc. Tellurium compounds useful for deposition of tellurium containing materials
US7671355B2 (en) * 2008-03-24 2010-03-02 United Microelectronics Corp. Method of fabricating a phase change memory and phase change memory
US8138574B2 (en) * 2008-05-16 2012-03-20 International Business Machines Corporation PCM with poly-emitter BJT access devices
ATE544155T1 (de) * 2008-08-07 2012-02-15 Sony Corp Elektronische vorrichtung für eine rekonfigurierbare logikschaltung
JP5272013B2 (ja) * 2008-10-01 2013-08-28 株式会社日立製作所 半導体装置
US8907316B2 (en) * 2008-11-07 2014-12-09 Macronix International Co., Ltd. Memory cell access device having a pn-junction with polycrystalline and single crystal semiconductor regions
US8664689B2 (en) 2008-11-07 2014-03-04 Macronix International Co., Ltd. Memory cell access device having a pn-junction with polycrystalline plug and single-crystal semiconductor regions
WO2010065874A2 (en) 2008-12-05 2010-06-10 Atmi High concentration nitrogen-containing germanium telluride based memory devices and processes of making
US8154006B2 (en) * 2008-12-29 2012-04-10 Micron Technology, Inc. Controlling the circuitry and memory array relative height in a phase change memory feol process flow
US8030635B2 (en) 2009-01-13 2011-10-04 Macronix International Co., Ltd. Polysilicon plug bipolar transistor for phase change memory
TW201247589A (en) 2009-05-22 2012-12-01 Advanced Tech Materials Low temperature GST process
US20110124182A1 (en) * 2009-11-20 2011-05-26 Advanced Techology Materials, Inc. System for the delivery of germanium-based precursor
KR20110076394A (ko) * 2009-12-29 2011-07-06 삼성전자주식회사 상변화 메모리 장치
TW201132787A (en) 2010-03-26 2011-10-01 Advanced Tech Materials Germanium antimony telluride materials and devices incorporating same
US9190609B2 (en) 2010-05-21 2015-11-17 Entegris, Inc. Germanium antimony telluride materials and devices incorporating same
US9373677B2 (en) 2010-07-07 2016-06-21 Entegris, Inc. Doping of ZrO2 for DRAM applications
US8394667B2 (en) 2010-07-14 2013-03-12 Micron Technology, Inc. Methods of forming memory cells, and methods of patterning chalcogenide-containing stacks
CN102005466A (zh) * 2010-09-28 2011-04-06 中国科学院上海微系统与信息技术研究所 一种具有低k介质绝热材料的相变存储器结构及制备方法
CN102544012A (zh) * 2010-12-17 2012-07-04 复旦大学 Mos结构的存储单元、阵列、存储器及其操作方法
CN102789812A (zh) * 2011-05-17 2012-11-21 复旦大学 基于阻变栅介质的nor型存储单元、阵列以及其操作方法
US9129856B2 (en) * 2011-07-08 2015-09-08 Broadcom Corporation Method for efficiently fabricating memory cells with logic FETs and related structure
US9293197B2 (en) 2011-08-15 2016-03-22 Lockheed Martin Corporation Reconfigurable phase change material masks for electro-optical compressive sensing
CN102969231B (zh) * 2011-09-01 2015-11-25 中芯国际集成电路制造(上海)有限公司 一种金属栅的制作方法
CN102983097B (zh) * 2011-09-05 2015-02-18 中芯国际集成电路制造(上海)有限公司 制作金属栅极的金属塞方法
WO2013177326A1 (en) 2012-05-25 2013-11-28 Advanced Technology Materials, Inc. Silicon precursors for low temperature ald of silicon-based thin-films
US8896035B2 (en) * 2012-10-22 2014-11-25 International Business Machines Corporation Field effect transistor having phase transition material incorporated into one or more components for reduced leakage current
US9640757B2 (en) 2012-10-30 2017-05-02 Entegris, Inc. Double self-aligned phase change memory device structure
US9340446B1 (en) 2013-02-04 2016-05-17 Lockheed Martin Corporation Optical apparatus and method of forming a gradient index device
WO2014124056A1 (en) 2013-02-08 2014-08-14 Advanced Technology Materials, Inc. Ald processes for low leakage current and low equivalent oxide thickness bitao films
US9047938B2 (en) 2013-02-25 2015-06-02 International Business Machines Corporation Phase change memory management
US10437083B1 (en) 2014-10-20 2019-10-08 Lockheed Martin Corporation Individually addressable infrared mask array
US9969647B2 (en) 2016-05-17 2018-05-15 Lockheed Martin Energy, Llc Glass composites having a gradient index of refraction and methods for production thereof
US9908808B1 (en) 2016-08-18 2018-03-06 Lockheed Martin Corporation Ternary glass materials with low refractive index variability
CN108922878B (zh) * 2018-07-05 2020-03-31 西安众力为半导体科技有限公司 一种采用热相变材料进行均流的半导体功率器件
US11489111B2 (en) 2021-03-29 2022-11-01 International Business Machines Corporation Reversible resistive memory logic gate device
US11889774B2 (en) 2021-12-07 2024-01-30 International Business Machines Corporation Crossbar memory array in front end of line

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3783637D1 (de) 1986-04-09 1993-03-04 Hitachi Ltd Optisches speichermedium und dessen informationsaufzeichnungs- und -loeschmethode und geraet dazu.
US6579318B2 (en) * 2000-06-12 2003-06-17 Ortho Development Corporation Intervertebral spacer
US6429064B1 (en) 2000-09-29 2002-08-06 Intel Corporation Reduced contact area of sidewall conductor
US6534781B2 (en) 2000-12-26 2003-03-18 Ovonyx, Inc. Phase-change memory bipolar array utilizing a single shallow trench isolation for creating an individual active area region for two memory array elements and one bipolar base contact
US6531373B2 (en) * 2000-12-27 2003-03-11 Ovonyx, Inc. Method of forming a phase-change memory cell using silicon on insulator low electrode in charcogenide elements
US6997241B2 (en) 2001-01-13 2006-02-14 Enertron, Inc. Phase-change heat reservoir device for transient thermal management
US6576318B2 (en) 2001-06-05 2003-06-10 Hewlett-Packard Development Company, L.P. Method to fabricate smooth-surfaced crystalline phase-change layer for atomic resolution storage device
US6590807B2 (en) 2001-08-02 2003-07-08 Intel Corporation Method for reading a structural phase-change memory
US6586761B2 (en) 2001-09-07 2003-07-01 Intel Corporation Phase change material memory device
JP3749847B2 (ja) 2001-09-27 2006-03-01 株式会社東芝 相変化型不揮発性記憶装置及びその駆動回路
US6576921B2 (en) * 2001-11-08 2003-06-10 Intel Corporation Isolating phase change material memory cells
US6625054B2 (en) 2001-12-28 2003-09-23 Intel Corporation Method and apparatus to program a phase change memory
US20030128646A1 (en) 2002-01-09 2003-07-10 Peter Nangle Asymmetrical programming mechanism for non-volatile memory
US7106622B2 (en) 2002-01-09 2006-09-12 Intel Corporation Phase-change memory device capable of preprogramming memory cells optically and reading/writing memory cells electrically
US6795338B2 (en) * 2002-12-13 2004-09-21 Intel Corporation Memory having access devices using phase change material such as chalcogenide
US20050018526A1 (en) * 2003-07-21 2005-01-27 Heon Lee Phase-change memory device and manufacturing method thereof

Also Published As

Publication number Publication date
US20050208699A1 (en) 2005-09-22
JP2005268802A (ja) 2005-09-29
CN1670979A (zh) 2005-09-21
US7005665B2 (en) 2006-02-28
CN100399599C (zh) 2008-07-02

Similar Documents

Publication Publication Date Title
JP4351644B2 (ja) 相変化材料を含む半導体構造
US7514288B2 (en) Manufacturing methods for thin film fuse phase change ram
US7572666B2 (en) Reduced area intersection between electrode and programming element
US7929340B2 (en) Phase change memory cell and manufacturing method
US7459717B2 (en) Phase change memory cell and manufacturing method
US7321130B2 (en) Thin film fuse phase change RAM and manufacturing method
US7514334B2 (en) Thin film plate phase change RAM circuit and manufacturing method
US10886391B2 (en) Single-electron transistor with wrap-around gate
US8288750B2 (en) Phase change memory device with air gap
EP1576670B1 (en) Electric device with phase change material and parallel heater
US7119353B2 (en) Electric device with phase change material and method of manufacturing the same
US20090230375A1 (en) Phase Change Memory Device
US20150123068A1 (en) Fin-type memory
JP5326080B2 (ja) 相変化メモリ装置の製造方法
US20050110113A1 (en) Anti-fuse structure employing metal silicide/doped polysilicon laminate
US20240074334A1 (en) Phase-change memory device and method for fabricating the same
US20220189821A1 (en) Heat spreading isolation structure for semiconductor devices
US20230189672A1 (en) Pcm cell with nanoheater surrounded with airgaps
JP2023519135A (ja) 相変化材料スイッチおよびその製造方法

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20070927

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090120

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20090123

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20090205

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090420

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090721

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090724

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120731

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130731

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees