JP4159128B2 - 低誘電率の多層膜を堆積するための方法及び装置 - Google Patents

低誘電率の多層膜を堆積するための方法及び装置 Download PDF

Info

Publication number
JP4159128B2
JP4159128B2 JP34356497A JP34356497A JP4159128B2 JP 4159128 B2 JP4159128 B2 JP 4159128B2 JP 34356497 A JP34356497 A JP 34356497A JP 34356497 A JP34356497 A JP 34356497A JP 4159128 B2 JP4159128 B2 JP 4159128B2
Authority
JP
Japan
Prior art keywords
carbon
chamber
substrate
layer
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP34356497A
Other languages
English (en)
Other versions
JPH10189569A (ja
Inventor
ロブレス ストウアード
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JPH10189569A publication Critical patent/JPH10189569A/ja
Application granted granted Critical
Publication of JP4159128B2 publication Critical patent/JP4159128B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/60Deposition of organic layers from vapour phase
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3127Layers comprising fluoro (hydro)carbon compounds, e.g. polytetrafluoroethylene
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S427/00Coating processes
    • Y10S427/103Diamond-like carbon coating, i.e. DLC
    • Y10S427/106Utilizing plasma, e.g. corona, glow discharge, cold plasma

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Description

【0001】
【発明の背景】
本発明は、集積回路の製造に関する。より詳細には、本発明は、比誘電率が低い絶縁膜を堆積する方法及び装置を含む技術を提供するものである。
【0002】
多くの超大規模集積(VLSI)半導体デバイスは、ウェーハ上のデバイスの記憶密度を高めるためにマルチレベル相互接続を用いている。インターメタル誘電体(IMD)層は、メタライゼーション層の間に絶縁性層を提供し、層間の静電容量を低減している。これらの層の比誘電率は、製造可能なデバイスのサイズに直接的な強い影響を及ぼしている。例えば、半導体業会の中には、0.25μm以下の加工最小線幅のデバイスを大量生産するには、比誘電率が2.9以下のIMD層を使用する必要があることを明らかにしているところもある。このように、比誘電率が低いIMD層に対しては絶えることのないニーズがある。
【0003】
また、これらのIMD層の別の特性も重要である。例えば、IMD層は良好な「ギャップ埋め込み(gap-fill)」特性を有さなければならない。即ち、下地基板中のにステップ及び開口を完全に埋め込むだけでなく、滑らかに平坦化された誘電体層を形成するボイドフリーな層を生成するために、IMD層は良好なステップカバレッジ特性及び平坦化特性を示さなければならない。この層は、下地のメタライゼーション層への損傷を防ぐよう、低い温度、好ましくは約400℃未満で堆積することができなければならない。
【0004】
IMD層堆積に対する数多くの既存のアプローチは、何層かの酸化ケイ素膜の形成と堆積を含んでいる。この堆積は通常、化学的気相堆積(CVD)を用いて行われる。従来の熱CVDプロセスでは、基板面に反応性ガスを供給し、そこで熱誘導化学反応を生じさせて所望の膜を生成する。
【0005】
アスペクト比が2:1以上のギャップを首尾よく埋め込むために用いられてきた特定プロセスのひとつに、多層酸化ケイ素誘電体膜の堆積がある。そのような多層酸化ケイ素膜の例は、Wang 他に付与され、本発明の譲受人である アプライドマ テリアルズ インコーポレイテッドに譲渡された、「熱CVD/PECVDリアクタ及び二酸化ケイ素の熱化学的気相堆積及びインサイチュマルチステップ平坦化プロセスへの使用」(“Thermal CVD/PECVD Reactor and Use for Thermal Chemical Vapor Deposition of Deposition Dioxide and In-situ Multi-step Planarized Process")という名称の米国特許第5,000,113号明細書に記載されている。
【0006】
このプロセスでは、薄い酸化ケイ素の第1の層(「ライニング層」と称する)が、プラズマ励起CVD(PECVD)技術を用いて、基板のステップ形状部(stepped topology)を覆って堆積される。次に、酸化ケイ素の第2の層が、ライニング層の上に堆積される。この第2の層は、テトラエチルオルトシラン(tetraethylorthosilicate)(TEOS)とオゾン(O )の前駆ガスとから、酸化ケイ素層を熱CVD堆積することによって形成される。このようなTEOS/オゾン/酸化ケイ素膜は、約100〜700torrの圧力条件下で堆積されるので、一般にサブ大気圧(subatmospheric)CVD(SACVD)膜と呼ばれる。PECVDライニング層は、上に積層するSACVD層のための初期ライニング層及び拡散障壁として機能する。すなわち、それはメタルライン間のギャップを埋め込み、SACVD層の均一性と堆積速度を改善する。
【0007】
これらの多層PECVD/SACVD膜は、望ましい品質を有することが分かっているが、比誘電率が低い膜に対すして継続しているニーズを満足させるものではない。代表的なPECVD/SACVD膜は、4.0以上の比誘電率を有する。従って、例えばIMD層での使用に適する、比誘電率が低い絶縁膜に対するニーズは存在する。
【0008】
ポリマーを含む有機物の比誘電率が低いことが分かっている。1995年6月にJournal of Vacuum and Technology で発表された「サブクォータミクロンに適用する埋込式低比誘電率ポリマーを用いた平坦化マルチレベル相互接続の概要」("A Planarized Multilevel Interconnect scheme with Embedded Low-Dielectric Constant Polymer for Sub-Quarter Micron Application")で、Jeng他は、集積回路構造の、極く僅かに間隔を空けた導体ライン間、又は目的達成のために重要な他の領域間に、二酸化ケイ素(SiO )の代用としてパリレン等の低比誘電率重合体材料の使用を検討している。これら重合体材料も、非常に望ましいギャップ埋め込み特性を示す。
【0009】
しかし残念なことに、パリレンのような重合体材料は、化学的にも構造的にも比較的不安定である。重合体膜の化学的安定性は、堆積中の堆積温度とイオン移動により悪影響を受ける。パリレンは、構造上IMDへの適用に好適な他の材料が示すような機械的強度を有していない。パリレンはまた汚れやすく、材料の平坦化を困難にする。また、パリレンは長期間にわたる構造安定性にも懸念がある。使用中、温度と電界効果により、膜の安定性は更に劣化する。
【0010】
パリレンのような有機膜は、熱伝導率が低いという点でも悩まされる。このことは、使用中のデバイスへの加熱をもたらす可能性があり、イオン移動によってもたらされるデバイスの故障も招く可能性がある。
【0011】
従って、有機膜の有益な特性を利用して膜の安定性及び熱特性を改善する比誘電率が低い絶縁膜に対するニーズが存在する。
【0012】
【発明の概要】
本発明は、比誘電率が低く、望ましいギャップ埋め込み特性を有する絶縁膜を提供することによってこれら要求事項を扱っている。
【0013】
本発明の一実施態様によると、基板上に絶縁膜を形成する方法は、基板上に複数の炭素ベースの層を形成して、各層の間にパリレン等の重合材料層を介在させることを含んでいる。炭素ベースの層は、高密度プラズマ化学的気相堆積(HDP−CVD)システムを用いて形成されるのが好ましいが、他のCVDシステムを用いてもよい。結果として、全体として比誘電率が低く、優れたギャップ埋め込み特性と、望ましい熱特性とを有する多層絶縁膜が得られる。
【0014】
多層膜の特性は、個々の層の組成と数とを変えることによって適合させることができる。例えば、現状で好ましい実施態様では、堆積される炭素膜の最初の層は、フレオン−14(CF )よりもメタンの割合を高く形成したプロセスガスを用いて形成される。これによって多層膜用の安定ベース層が生成される。後続の炭素ベース層は、膜の比誘電率を低減するためにフレオン-14の割合を高くして堆積することができる。
【0015】
炭素ベース膜の層は、ポリマー膜の層を安定させる傾向があり、比誘電率が低い多層膜を生成すると考えられる。また、炭素ベース膜層は、本発明の方法に従って製造されるICデバイスの熱伝導率向上も助長し、もってICの外への熱伝達を向上させる。
【0016】
本発明の目的及び利点の更なる理解のために、詳細な説明を添付図面に関連して行なう。
【0017】
【発明の実施の形態】
I.序説
本発明は、低い比誘電率(実施形態によっては、約2〜3)と、望ましいギャップ埋め込み特性とを有する絶縁層の堆積を行うことができるようにするものである。本発明の絶縁層は、従来設計のCVDチャンバ内で、低温を使って堆積される。
【0018】
II.炭素膜堆積用の具体的なCVDシステム
本発明は、基板処理装置、特に電子サイクロトロン共鳴(ECR)プラズマCVD装置、熱CVD装置、エッチング装置及びスパッタリング堆積装置に適用できる。そのような基板処理装置のひとつに、図1で示す、以下説明するHDP−CVDシステムがある。本発明の現状で好適な実施形態においては、HDP−CVDシステムを使用して炭素ベースの膜層を1層以上堆積し、以下により詳細に説明する比誘電率が低い絶縁層を提供する。他の実施形態においては、炭素層を堆積するために、従来のPECVD及び他の技術を用いてもよい。
【0019】
図1に示すように、HDP−CVDシステム5としては、プロセスチャンバ10、真空ポンプ12、バイアスRF(BRF)発生装置36、BRF発生装置50及びソースRF(SRF)発生装置32を含んでいるHDP−CVDシステムが使用される。プロセスチャンバ10は、側壁22と円板形シーリング電極24とから成るシーリング20を含む。側壁22は、石英やセラミック等の絶縁体で作られており、コイル状アンテナ26を支持している。コイル状アンテナ26の構造的な詳細は、FairbainとNowakによって1993年8月27日に出願された、「高密度プラズマCVD及びエッチングリアクタ」(“High Density Plasma CVD and Etching Reactor")という名称の米国特許出願第08/113,776号明細書に開示されており、その開示内容は本明細書に援用されている。
【0020】
堆積ガス及び液体は、制御バルブ(図示せず)を有するライン27を介してガス混合チャンバ29に供給され、ここでそれらは混合されて、ガス供給リングマニホルド16に送られる。ガス供給リングマニホルド16にはガス噴射ノズル14が連結されており、マニホールド16に導入された堆積ガスをチャンバ10内のペデスタル44上に載置される基板45へ分散させる。ペデスタル44は、処理中に基板を制止するために静電チャック又は類似の機構を含んでもよく、また、冷却通路及び他の特徴を含んでもよい。
【0021】
ガス供給リングマニホールド16は、ハウジング18内に位置決めされている。ハウジング18は、スカート46によって反応物質から保護されている。スカート46は、HDP−CVDプロセスで用いられる反応物質に対して抵抗力のある、石英、セラミック、シリコン、ポリシリコン等の材料から成っている。プロセスチャンバ10の底部は、それ単体で取り外し可能な環状ライナ40を含んでいてもよい。
【0022】
堆積ガスの誘導結合プラズマが、SRF発生装置32からコイル状アンテナ26に印加されたRFエネルギにより、基板45に隣接して形成され得る。SRF発生装置32は、プロセスチャンバ10に導入された反応種の分解を促進するため、単一周波数又は混合周波数のRFパワー(又は別の望ましい変量)の何れかをコイル状アンテナ26へ印加できるようになっている。堆積ガスは、矢印25によって示すように排気ライン23を介してチャンバ10から排出される。ガスが排気ライン23を介して放出される速度は、スロットルバルブ12aによって制御される。
【0023】
シーリング電極24は、蓋部56により所定位置に保持されている。蓋部56は冷却ジャケット58により冷却されるが、シーリング電極24は抵抗ヒーター60により加熱されて、クリーニング速度を加速させたりプロセスパラメータを変えたりすることができる。シーリング電極24は導体であり、スイッチ38を適切に設定することによって、BRF発生装置36にアースするか或いは非接続状態(浮動許容状態)のままにすることができる。同様に、ペデスタル44も、スイッチ52を適切に設定することにより、BRF発生装置50にアースさせるか或いは非接続状態(浮動許容状態)のままにすることができる。これらスイッチの設定は、プラズマに対して所望する特性に依存する。BRF発生装置36及び50には、単周波数又は混合周波数のRFパワー(又は別の所望のバリエーション)を印加することができる。BRF発生装置36及び50は、別々のRF発生装置であってもよく、またシーリング電極24とペデスタル44の両方に接続されている単体のRF発生装置であってもよい。誘導結合プラズマをペデスタル44方向へバイアスさせるためにBRF発生装置36及び50からRFエネルギを印加することにより、スパッタリングが促進され、プラズマの既存のスパッタリング効果が高められる(即ち、膜のギャップ埋め込み能力が増加する)。
【0024】
誘導結合プラズマの形成とは別に、或いはそれに関連して、プラズマを形成するために容量結合を用いてもよい。容量結合プラズマを、シーリング電極24とペデスタル44との間に形成してもよい。この構成において、シーリング電極24とペデスタル44は、それぞれひとつの平行板コンデンサとして働く。容量結合プラズマは、これら2つの板の間に形成される。
【0025】
BRF発生装置36、BRF発生装置50、SRF発生装置32、スロットルバルブ12a、ガス混合チャンバ28、ライン27に接続される制御バルブ、スイッチ30、スイッチ34、スイッチ38、スイッチ52及びHDP−CVDシステム5内の他のエレメントは全て、部分的に示してある制御ライン35のシステムコントローラ31によって制御される。システムコントローラ31は、記憶装置33等のコンピュータ読取可能媒体に記憶されたコンピュータープログラムの制御によって作動される。コンピュータープログラムは、タイミング、ガスの混合、チャンバ圧力、RFパワーレベル及び特定プロセスの他のパラメーターを命令する。
【0026】
また、プロセスチャンバ10は、好適な実施形態において、ハードディスクドライブである記憶装置33に記憶されたシステム制御ソフトウェアを実行するシステムコントローラ31により制御される質量流量コントローラ(MFC)等のアナログアセンブリを含んでもよい。モーター及び光センサが、スロットルバルブ12a等の機械的な可動アセンブリの位置を移動し判断するため用いられている。
【0027】
このようなHDP−CVD装置の例は、容量結合の構成の詳細、及び誘導結合構造に関する特定の詳細のそれぞれとともに、1994年4月26日に出願された、「誘導結合及び容量結合の組合せを有する高密度プラズマCVDリアクタ」(“High Density Plasma CVD Reactor with Combined Inductive and Capacitive Coupling")という名称の米国特許出願第08/234,746号明細書に記載されており、その開示内容は本明細書に援用されている。
【0028】
上記記載は、単に説明を目的をするものであり、本発明の範囲を限定するものではない。ペデスタル設計、チャンバ設計、RFパワー接続の配置の変更等の、上記システムの様々な変更、及び他の変更を行うことは可能である。
【0029】
III.ポリマー層堆積用の具体的なチャンバ
本発明の現状での好適な実施形態では、少くとも第2の処理チャンバが、基板上に重合材料(例えば、パリレン又はテトラフルオロエチレン「テフロン(登録商標)」の層を堆積するため用いられる。しかし、本発明の特徴点を、単体チャンバ内で行い、そこで多層フィルムの堆積を提供するようにしてもよい。
【0030】
現状で好適な実施形態においては、パリレン膜堆積用のチャンバが使用される。パリレン膜及び他のポリマー膜を堆積できる有機膜処理システム110を図2に示す。この処理システムは、通常の譲渡がなされた、「集積回路上にポリマー薄層を形成するための方法及び装置」(“Method and Apparatus for Forming a Thin Polymer Layer on an Integrated Circuit Structure")という名称の、1996年10月22日出願された、同時係属中の米国特許出願 (Attorney docket No. 777-P1)に更に詳細に記載されており、それはアプライド マテリアルズ インコーポレイテッドに譲渡され、全ての目的のために本明細書に援用されている。
【0031】
本明細書で使用する用語「パリレン」は、p−キシリレン(CH CH )ベースの熱可塑性ポリマー、又はパリレンモノマー、ポリマー、コポリマーの誘導体の総称である。非置換性のp−キシリレンポリマーは、以下の化学式
−(CH −C −CH −)
を有しており、ここでnは、高い強度を与える十分な値である。ポリマーは、モノマーを両端に付加することにより成長し、容易に識別されない末端基は、性質に影響しない。また、用語「パリレン」は、モノマーやポリマーをハロゲン化することにより生成されるパリレンポリマーの塩化物形態又はフッ化物形態も含むことも意味している。代表的な始動物質は、安定した環状ダイマー、ジ−p−キシリレン又はハロゲン化誘導体であり、固体で入手可能である。ダイマーは蒸発又は昇華されなければならず、その後、重合を進めるために反応性モノマーにまで分解される。ダイマーは、Union Carbide 等の会社から市販で入手できる。通常、固体ダイマーは、扱い易くするため、微粒子状、例えば粉体で手に入れることができる。しかし、ダイマーペレットをパック化したベッドと共に用いたり、固体前駆物質を、ダイマーの連続搬送を容易にするために液化したり又は搬送流体中に溶解させてもよいことを、本発明は考慮している。
【0032】
図2において、一実施形態では、ジ−p−キシリレン又は代用ジ−p−キリシレンを加熱して、蒸発又は昇華するのに用いる蒸発チャンバ124を有する有機膜堆積システム110が設けられている。蒸発器の圧力をモニターして、粒状固体ダイマー又は液体ダイマーを蒸発チャンバ124に連続的に確実に供給するようにするために、蒸発チャンバ内に圧力ゲージ(図示せず)が配設されている。圧力ゲージは、材料がゲージ上に堆積してゲージを作動不能にしないよう加熱されるのが好ましい。
【0033】
次いで、ジ−p−キシリレン等の蒸発ダイマー、又は蒸発ダイマーとキャリヤガスとの任意の混合物は、蒸発チャンバ124からゲートバルブ128を通過して耐熱(パイロシス)チャンバ或いは分解チャンバ130に到達し、ここで蒸発ダイマーは少なくとも部分的に分解されて、モノ−P−キシリレン等の反応性モノマーになる。重合可能材料が、反応種を生成するのに蒸発又は分解を必要としないモノマー又はオリゴマーである場合、蒸発チャンバ及び分解チャンバを取り除くか、又は迂回してもよいことを理解しなければならない。
【0034】
液体又は固体の重合可能材料を堆積チャンバに導入するか或いは別のモノマーと混合する前に蒸発又は昇華させるために、蒸発チャンバ124では始動材料をが加熱される。蒸発チャンバ124を、ガス入口ポート127を有する金属シリンダの周辺に形成して、非反応性ガス流が蒸発チャンバ124へ流入できるようにしてもよい。蒸発チャンバl24の出口ポートはゲートバルブ128に接続されており、蒸発チャンバ124を分解チャンバ130から隔てている。蒸発チャンバ124内には、ジ−p−キシリレン等の重合可能な始動材料を配置するための封入容器が含まれている。
【0035】
蒸発チャンバ124内の圧力を大気圧に維持してもよい。装置全体(蒸発チャンバ、分解チャンバ、堆積チャンバ)は、同じ圧力(例えば、30ミリtorr〜5torr)に維持されるのが好ましい。不活性キャリヤガスがない時、圧力は約100ミリtorr〜1torr又はベース圧力より約20〜60ミリtorr高い80〜120ミリtorrが好ましい。キャリヤガスを用いる場合、全体圧力は1torr〜5torr、好ましくは2〜5torrである。全体圧力が増加すると、パリレン層の堆積速度が増加して堆積チャンバに提供される反応性p−キシリレン量をより良好に制御できる。キャリヤガスは、ヘリウム、アルゴン、窒素等の任意の不活性ガスであってもよいが、現状はヘリウムが好ましい。
【0036】
蒸発チャンバ124は、例えば、同様に加熱するために、蒸発チャンバ124周囲を包んでそれを加熱することのできる調節可能な加熱コイル126等の任意の便利な手段によって加熱してもよい。加熱コイル126は、蒸発チャンバ124内の重合可能材料の蒸発温度(この温度未満ではこの材料が分解して反応性モノマーになってしまう)まで蒸発チャンバ124を加熱するのに十分な熱を提供するように調節可能である。Watlow 965 温度コントローラ等の、外付け熱コントローラを、所望の温度に維持するため、加熱コイルに関して使用してもよい。
【0037】
蒸発チャンバ124の温度は、前記圧力範囲内で運転する場合、通常は、堆積に必要な圧力において、それ以下では材料が蒸発しない最低温度から、蒸発材料が運転圧力で分解する温度を下回る最高温度まで変動する。蒸発チャンバの運転温度は蒸発させる材料によって変わるが、温度は約100℃〜200℃に維持するのが好ましい。
【0038】
窒素、アルゴン、ヘリウム等の非反応性キャリヤガスは、ガス吸入ポート127を介して蒸発チャンバ124へ任意に導入され、その後固体パリレンダイマーを蒸発させるために蒸発チャンバ124から放射又は伝導される熱によって加熱され、続いて、蒸発チャンバ124から排出されて、ゲートバルブ128を通過して分解チャンバ130へ向かう。しかし、このプロセスはキャリヤガス等の追加ガスを用いることなく、蒸発反応物質、例えばパリレンダイマーだけを用いて行ってもよいことを認識すべきである。
【0039】
ゲートバルブ128は、手動で操作されてもよいが、好ましくは自動で操作され、システムコントローラ31(図1)等のコントローラと接続されている。そのコントローラは、蒸発チャンバ124内の温度と圧力を検知して、ゲートバルブ128を介して蒸発チャンバl24から流れるガスが蒸発チャンバ124を介して流れる任意の非反応性キャリヤガスと同様に蒸発した重合化材料を含むように、重合可能材料の蒸発温度に蒸発チャンバ124が達した後でのみ、バルブ128を開くようプログラムされている。
【0040】
ジ−p−キシリレンを使用する場合のように、反応性モノマーを形成するのに、蒸発重合可能始動材料の分解が必要である場合、蒸発チャンバからの蒸気が分解チャンバへ送り込まれるのが好ましい。分解チャンバは、様々な仕方で構成されるが、蒸発材料を急速且つ均一に加熱するため表面積が広いことが好ましい。一実施形態において、分解チャンバ130の一端は、分解チャンバ130に入るダイマー蒸気の流れを制御するのに用いる第1のゲートバルブ128に接続されている。
【0041】
システムコントローラ31は、分解チャンバ130内のヒーターワイヤを制御するよう接続されているのが好ましい。システムコントローラ31は、約400℃〜900℃、好ましくは少なくとも約700℃の熱を提供するように分解チャンバの温度を制御する。少くとも約400℃、好ましくは少くとも約700℃の温度が、安定ダイマーの反応性モノマーへの確実且つ十分な分解に必要であるが、最高温度は分解チャンバ130で形成されたモノマーの分解を避けるために約900℃を超えてはならない。分解温度は、使用されるダイマー材料に従って変わることを再度認識すべきである。
【0042】
分解チャンバ130は、基板面への望ましくない粒子の堆積、又は堆積被膜内の塊の形成を防ぐように、チャンバを通過中、反応性モノマーの形成に十分な量のダイマーを分解することが好ましい。分解されなかったダイマーは、重合することはないために、基板上の堆積物として被膜中に塊を生じさせ、表面上に望ましくない粒子を発生させ、或いは堆積チャンバを通過して低圧真空ポンプ158の直前にある堆積チャンバ120の下流に配置されたコールドトラップ機構148を詰まらせる。
【0043】
安定したダイマー蒸気の高いレベルの分解を確保するには、ダイマー蒸気が分解チャンバ130内で十分に加熱されるのが好ましい。これは、蒸発したダイマーと接触する分解チャンバ130の内側表面積を広げるか、蒸発したダイマーが分解チャンバ130内に滞留する時間を延ばすか、或いはその両方の組合わせによって達成できる。通常、分解チャンバ内での蒸発ダイマーの滞留時間は、1〜5分である。反応性モノマーへのダイマーの分解を促進するには、基板への後続する堆積及び重合のために、安定した何れの前駆物質をも反応性物質に分解するのに十分な熱を提供するように、堆積チャンバ内でプラズマを形成しても良い。
【0044】
分解チャンバ内での滞留時間を延ばすには、蒸発チャンバ124内へのキャリヤガスの流れを調節したり、ゲートバルブ128及び132を絞ったり、或いはそのようなバルブの絞りとキャリヤガス流量制御とを組合わせたりすることによって、分解チャンバ130内への蒸発ダイマーの流量を調節して行っても良い。滞留時間は、分解チャンバ130を通る経路の長さを変更することによって制御することもできる。
【0045】
活性モノマーを含むガス/蒸気の流れは、分解チャンバ130の外へ出てティー131に至り、その後、任意ではあるが、そこで蒸気が蒸発状態の共重合材料と混合される。次いで、蒸発モノマーと任意の共重合可能材料は、第2のゲートバルブ132を介して導管133へ流れる。その導管は、堆積チャンバ120に至る入口ポート134とバルブ132とを連結しており、その堆積チャンバでは、モノマーが半導体基板等の内部の対象物上に堆積され重合される。その対象物は、チラー138に接続された支持部材122によって温度制御されるのが好ましい。重合可能材料の更なる蒸発及び/又は分解が必要でない場合、重合可能材料は、チャンバ120と蒸発チャンバ124へ直接連通するためティー131において導入され、分解チャンバ130を排除してもよい。キャリヤガスが存在する場合、通常は分解チャンバ130が必要となる。
【0046】
ティー131は、反応性モノマーが重合を開始しないように十分高い温度に維持するように、ティー131の周囲を包む加熱テープ等の外付けヒータによって加熱されるのが好ましい。通常、これには少くとも約150℃の温度が含まれる。共重合可能ソースを用いる場合、前記蒸発チャンバ124に類似している第2の蒸発チャンバを、共重合可能材料を蒸発させるために用いることができる。必要であれば、前記分解チャンバ130に類似する分解チャンバを形成する追加の装置を用いてもよい。いずれの場合にせよ、ガス状の共重合可能材料等を提供する装置をティー131と接続することにより、ガス状の各反応性共重合ソースは、堆積チャンバ120への導入に先立ち、ティー131内で混合可能となる。
【0047】
堆積チャンバ内で基板表面にコポリマーの形成と堆積が所望であれば、更なる実施形態において、モノマー、ダイマー及び他のオリジマー等の別の重合可能ガスを加熱されたティー131内でガス状のパリレンモノマーと混合してもよい。そのような別の重合可能材料は、例えば、Lancaster Synthesis Companyから入手できるような、ビニルビフェニルモノマーを含むであろう。反応性パリレンモノマーをそのようなモノマーと共重合させる理由の一つは、堆積中に、約400℃(重合させるパリレンのみ)からコポリマーのための約500℃〜550℃までの間で基板上に形成される膜の温度安定性を増加させることにある。パリレン反応性モノマーのガス流とキャリヤガスとに混合される共重合モノマー量は、モノマーの全混合物の、約5重量%〜25重量%でよいが、好ましくは約5重量%〜15重量%で、一般的に、モノマー混合物全体の通常約10重量%を含む共重合可能なモノマーが加えられている。
【0048】
堆積チャンバ120は、標準的な市販の真空処理チャンバを以下に説明するようにいくらか変更して備えてもいてよい。そのような真空処理チャンバは、アプライド マテリアルズ インコーポレテッドから入手可能な、酸化物エッチングチャンバ等である。チャンバ120は、基板を周囲に曝露することのない、アプライド マテリアルズ インコーポレテッドより入手可能なマルチチャンバ化された5000シリーズ処理装置等の半導体基板処理装置中のロードロック機構を介して別の真空チャンバ又は密閉チャンバ(例えば、上記例のHDP−CVDチャンバ)に連結されてもよい。そのような装置は、中央ロボットが動作するものであり、Maydan他に付与されてアプライド マテリアルズ インコーポレテッドに譲渡された米国特許第4,951,601号明細書に開示されており、その内容は本明細書に援用されている。
【0049】
図3において、堆積チャンバ120は、導管133が固着された入口ポート134を有する取り外し可能なカバー172を有する。一方、カバー172は、チャンバ120の円筒状側壁部にボルトで締結されている。チャンバ120内に、導管133からガス状混合物を導入するのに供する蒸気分配機構すなわち「シャワーヘッド」176が、カバー172の下側又は内側へ取り付けられており、導管133に連通している。カバー172は、カバー172とシャワーヘッド176を反応性パリレンモノマーの重合温度を超える温度(即ち約200℃を超える温度)に維持するが、反応性モノマーの更なる分解が生じるかもしれない温度より低い温度(即ち約750℃未満の温度)に維持するために、上面又は外面上に取り付けられているヒーターパッド168を有していてもよい。通常、カバー172とシャワーヘッド176の温度は、約200℃〜300℃に維持される。また、そのようなヒーターパッドは、カバー172及びシャワーヘッド176を所望の温度に維持するために温度コントローラに接続されてもよい。
【0050】
堆積チャンバ120の壁は、蒸発した重合可能材料の堆積及び重合を防ぐために十分に高い温度に維持されることが好ましい。一実施形態では、チャンバ壁の温度は、システムコントローラ31によって制御されるのが好ましいヒータ140(図2)により維持される。残留ガス/蒸気の混合物は、その後、好ましくはシステムコントローラ31によって制御されるチャンバ120内の圧力を調節するスロットルバルブ142を通って堆積チャンバ120を通過して、続いて、チラー146に接続されたコールドトラップ148を通過する。その後、残留ガスはゲートバルブ154を通って、低真空ポンプ158に至る。
【0051】
エッチングにチャンバ120を用いることを所望する場合、又は、チャンバ120のところでクリーニングを行う場合は、任意のコールドトラップ148からのガスを、ゲートバルブ150を(ゲートバルブ154を閉じたまま)通過させ、ターボポンプ152へ送って、続いて隔離バルブ156を通して低真空ポンプ158へ送るルートを代わりに取ってもよい。チャンバ120内での堆積の間、バルブ150及び156は、通常閉じられる。
【0052】
一実施形態では、この装置は、チャンバ120内でのプラズマ発生を可能にするため、チャンバ120に結合されるRF発生装置136を備えていてもよい。プラズマを、安定ダイマーを反応種に変換するために十分な熱を発生させることによって、安定した前駆物質の分解を高めるために用いてもよい。また、プラズマは、チャンバ壁上での重合を防ぐようにチャンバ壁を十分に加熱し、及び/又は、気相中での重合を防ぐようにプロセスガスを十分に加熱してもよい。加えて、RF発生装置は、チャンバの一体化を可能にするので、基板の又はチャンバ120のその場でのクリーニングのいずれかを行うことができる。
【0053】
チャンバが、基板上での重合可能材料の堆積速度を高めるための電界を提供する電気バイアスを含んでもよいことが考慮されている。好ましくは、チャンバ壁がアースされ、DCバイアスが基板支持部材122に印加される。電界に加えて、チャンバ内部又はチャンバ壁の周囲に磁界コイル又は永久磁石を配設することによって、磁界をチャンバ内に生じさせてもよい。反応性重合可能材料が極性化されるので、電界及び磁界の両方が基板上への重合可能材料の堆積速度を高めるとも思われている。電界(E field) 及び/又は磁界(B field)が、反応性物質を整列させることによって重合プロセスを助け、重合反応が促進されることは理論付けられている。
【0054】
本発明によと、基板200は閉鎖システムで処理されることが好ましいという点に注意すべきである。従って、堆積後、基板は堆積チャンバ120から取り出され、処理チャンバ間にあるロードロックチャンバ内の貯蔵エリアに載置されて、周囲温度に達せられるようにしてもよい。このことによって、ウォームアップ中に基板を周囲の大気にさらす(これは容認できない水分取り込みを招くだろう)ことなく、また、被覆された基板をウオームアップまで堆積チャンバ内に放置する(これはパリレン堆積チャンバ内のスループット率を減少させるだろう)ことなく、基板を周囲温度に到達させることが可能になる。代替として、基板を真空環境から取り出すことなく、後続の処理のためにロードロックチャンバから別のチャンバへ移動させてもよく、例えば、炭素ベースの膜及び/又は二酸化ケイ素の膜を基板上へPECVD形成するための別のチャンバへ移動させてもよい。
【0055】
堆積チャンバ120に提供される蒸気/ガスは、「集積回路構造にポリマー薄層を形成するための方法及び装置」(“Method and Apparatus for forming a Thin Polymer Layer on an Integrated Circuit Structure")という名称の前記米国特許出願(Attorney docket No. 777-P1)に開示されているような、様々なマニホールドとノズルとの配置を介して分配してもよい。
【0056】
ここで基板支持部材122について述べる。可動基板支持部材は、重合可能材料の凝固点未満の基板温度を得るため十分に冷却されなければならない。基板上に形成される所望のポリマーがパリレンである場合、基板支持体122は、チラー138を用いて、約40℃を超えない温度、好ましくは約−40℃〜+25℃の温度に維持されなければならない。ガス状混合物が、例えば半導体基板200の冷却面と接触すると、他の反応性重合材料(存在する場合)との共重合と同様に反応性パリレンモノマーの重合が始まり、基板面上、例えば半導体ウェーハ200の表面上に、パリレン又はパリレンコポリマーの所望の誘電体膜の形成をもたらす。
【0057】
温度制御システムが基板支持体122を冷却するために設けられてもよく、又は、支持体122に、例えばチラー138から流体が通過して循環できる中空通路が備えられてもよく、いずれか一方が、基板支持体122の温度及びその上に載置する半導体ウェーハ200等の基板の温度を制御するために、システムコントローラ31によって制御されてもよい。基板は、クランプ手段或いはバイポーラもしくはモノポーラ静電チャック等の従来の任意の基板保持手段によって、基板支持体122上に保持されてもよい。ヘリウム等の背景ガス(backside gas)を、基板支持部材とその上に処理のため載置された基板との間の熱伝達を容易にするために、静電チャックの上面に備けたチャネルを介して流通させるのが好ましい。
【0058】
蒸発ガスと任意のキャリヤガスとの混合物がチャンバ120へ流入された後、例えば、パリレンポリマーが、反応性p−キシリレンモノマーの凝固及び重合によって基板200の表面に堆積される。任意のキャリヤガスの残りと、反応しなかったモノマー蒸気は、その後、チャンバ120を出て、スロットルバルブ142を通過してコールドトラップ148に至る。スロットルバルブ142の目的は、チャンバ120内の所望の圧力を維持することである。通常、堆積/重合反応は堆積チャンバ120内の圧力を、キャリヤガスが存在しない状態で約30ミリtorr〜1torrに維持しながら行われる。約30ミリtorr未満の圧力では、基板上にパリレン堆積がほとんど、又は全く行われず、一方、高すぎる圧力、即ち約1torrを超える圧力では、未反応ポリマーを含む低い結晶質膜の堆積を招く。キャリヤガスが存在する場合、堆積チャンバ120内の圧力は、1torr〜5torrであるのが好ましい。堆積チャンバ120内の圧力が設定圧力から逸脱する場合、圧力センサに接続されたスロットルバルブ142は、圧力を低下させるよう開くか、圧力を上昇させるよう閉じる。
【0059】
所望であれば、スロットルバルブ142を、例えばアルゴン、ヘリウム、窒素等の非反応性ガスを、チャンバ120からスロットルバルブ142を介してコールドトラップ148へ流れるガスの流れに添加できるよう変更してもよい。通常、コールドトラップ148へ流入するこの添加ガス流は、約50基準立方センチメートル/分(sccm)の流れを含むが、この値はチャンバの体積によって変化する。この非反応性ガスを添加する目的は、堆積チャンバ120を通過するキャリヤガス及び反応性モノマーのガス流の流量を制御して減速させること、即ち、滞留時間を増加させてチャンバ120を通過するガス流からより完全に熱抽出ができるようにしてより完全な重合反応を提供すること、即ちコールドトラップ148内で抽出されねばならない、チャンバ120を出て行く未反応の重合可能材料の量を更に最小化することにある。
【0060】
スロットルバルブ142を通過するガス及び蒸気は、その後、チャンバ120を大気圧より低い圧力に維持することのできるターボ真空ポンプ152に接続されたコールドトラップ148に入る。しかし、未反応パリレン及び別の共重合可能材料は真空ポンプ152に入らずにコールドトラップ148内でガス流から除去されることは重要である。コールドトラップ148は、例えば標準的なNorcalコールドトラップ等の、従来型の任意の市販コールドトラップを含んでもよく、ガス流からパリレン及び別の共重合可能材料の蒸気又は固体をトラップし除去するスロットルバルブ142の下流側に接続される。
【0061】
反応性モノマーの堆積に続いてチャンバをクリーニングするには、オゾンを1000sccmの速度でチャンバに流入させる。オゾンは、パリレン膜中の炭素と反応し、CO を形成して、チャンバからのパリレン除去を容易にすると考えられている。オゾンに加えて、100〜1000sccmの速度の酸素(O )を、支持部材に750〜1200ワットのRFバイアスを印加してチャンバ内に導入してもよく、これによってチャンバのクリーニングを効果的に行うことができる。酸素は、オゾンとパリレンとの反応と同じ様にパリレンと反応すると考えられている。NF 、C 等の、フッ素ガスでチャンバをクリーニングすることも可能である。フッ素クリーニングでは、フッ素がパリレン膜中の水素と反応してHFを形成し、チャンバからパリレン膜の除去を容易にすると考えられている。
【0062】
上記説明は、具体例を示す目的のためだけのものであり、本発明の範囲を制限するものとして考えられるべきものではない。ペデスタル設計、チャンバ設計、RFパワー接続部の配置の変更等、上記システムの様々な変更、及び他の変更を行うことが可能である。ガス流量は、直径8インチのウェーハを収容するよう設計されたチャンバ容積を仮定して与えられたが、チャンバ体積が異なれば異なる。
【0063】
IV.処理システムのコンピュータ制御
特定の一実施形態では、共通のシステムコントローラ31が、CVD装置10及び有機膜堆積システム60両方の、全ての動作を制御しているが、CVD装置10用にひとつ、有機膜堆積システム60用にひとつというように個々のシステムコントローラを用いることができることを当業者は理解するであろう。
【0064】
好適な実施形態では、コントローラ31は、ハードディスクドライブ、フロッピーディスクドライブ、カードラックを含んでいる。カードラックは、シングルボードコンピュータ(SBC)、アナログ及びデジタル入/出力ボード、インターフェースボード及びステッパーモータコントローラーボードを含んでいる。システムコントローラは、ボード、カードケージ、コネクタの寸法とタイプとを規定するベルサモジューラヨーロピアンズ(Versa Modular European)(VME)規格に従っている。また、このVMEは、16ビットデータバス及び24ビットアドレスバスを有する構造としてバス構造も規定している。
【0065】
システムコントローラ31は、ハードディスクドライブに記憶されたコンピュータープログラムの制御下で作動する。コンピュータープログラムによって、特定プロセスのタイミング、ガスの混合、RFパワーレベル及び他のパラメーターが指示される。ユーザとシステムコントローラとの間のインターフェイスは、図4に示すように、CRTモニタ340a及びライトペン340bを介して行われる。好適な実施形態では、2つのモニタ340aが用いられ、ひとつはオペレータのためクリーンルーム壁に設置され、もうひとつはサービス技術者用に壁の後ろに設置されている。両モニタ340aは、同時に同じ情報を表示するが、ひとつのライトペン340bのみが使用可能である。ライトペン340bは、ペン先端の光センサを用いてCRTディスプレイから発する光を検知する。特定の画面又は機能を選択するには、オペレータは、表示画面の指定域に触れ、ペン340bのボタンを押す。触れられた区域は、色が反転するか、或いは新しいメニューや画面を表示して、ライトペンと表示画面との間の連絡を確認する。
【0066】
プロセスは、例えば、システムコントローラ31上で実行するるコンピュータプログラムプロダクト141を用いて行うことができる。コンピュータープログラムコードは、例えば、68000のアセンブリ言語、C、C++又はパスカル等の従来の任意のコンピューター読取可能プログラム言語で書くことができる。プログラムコードとしては、単一ファイルか複数ファイルに入力されており、従来のテキストエディターを用いて、コンピューターの記憶装置システム等のコンピューター使用可能媒体で具現化またはストアされているものが好ましい。入力されるコードテキストが高級言語である場合、コードはコンパイルされ、結果のコンパイラーコードは、事前にコンパイルされたウインドウズ(Windows)(登録商標)ライブラリルーチンのオブジェクトコードを用いてリンクされる。システムユーザーはリンクされコンパイルされたオブジェクトコードを実行するために、オブジェクトコードを呼び出し、その記憶装置内のコードをコンピュータにロードさせ、CPUにそのコードを読み取らせてコードを実行させ、プログラム内で認識したタスクを実行させる。
【0067】
図5は、コンピュータープログラム1410を説明する階層的制御構造のブロック図を示す。ユーザは、ライトペンインターフェイスを用いることによって、CRTモニタに表示された画面又はメニューに応じて、プロセスセレクタサブルーチン1420にプロセスセット番号とプロセスチャンバ番号を入力する。プロセスセットは、特定プロセスを実行するのに必要なプロセスパラメータの予め決めたセットであり、予め規定したセット番号によって識別される。プロセスセレクタサブルーチン1420は、(i)所望のプロセスチャンバと、(ii)所望のプロセスを実行するためプロセスチャンバを操作するのに必要なプロセスパラメータの所望のセットとを識別する。特定プロセスを実行するためのプロセスパラメータは、例えば、プロセスガスの組成と流量、温度、圧力、RFバイアスパワーレベル 及び磁界パワーレベル等のプラズマ状態、冷却ガス圧、チャンバ壁温度等のプロセス状態に関連する。これらのパラメーターは、ユーザに対してレシピ(処方箋)の形で提供される。レシピによって特定されたパラメーターは、ライトペン/CRTモニタインターフェイスを利用して入力される。
【0068】
プロセスをモニターするための信号は、システムコントローラのアナログ入力及びデジタル入力ボードによって提供され、プロセスを制御するための信号は、システムコントローラ31のアナログ出力及びデジタル出力ボードで出力される。
【0069】
プロセスシーケンササブルーチン1430は、識別されたプロセスチャンバ及びプロセスパラメータのセットをプロセスセレクタサブルーチン1420から読み込むためと、様々なプロセスチャンバの操作を制御するためのとのプログラムコードを含んでいる。複数のユーザがプロセスセット番号及びプロセスチャンバ番号を入力することができ、或いは、単独ユーザーが複数のプロセスセット番号とプロセスチャンバ番号を入力することができ、シーケンサーサブルーチン1430は、選択されたプロセスを所望の順序でスケジューリングするよう操作される。好ましくは、シーケンササブルーチン1430は、(i)チャンバが使用中かどうか判断するために、プロセスチャンバの作動状態をモニターするステップ、(ii)使用中のチャンバで何のプロセスが実行されているかを判断するステップ、(iii)プロセスチャンバの使用可能性及び実行するプロセスのタイプに基づき所望のプロセスを行うステップ、を実行するプログラムコードを含む。ポーリング(polling)のような、プロセスチャンバをモニターする従来の方法を用いることができる。どのプロセスを実行するかスケジューリングする場合、シーケンサーサブルーチン1430は、どのプロセスを優先させるかといったスケジュールを決定するために、選択したプロセスに対する、所望のプロセス状態と比較した使用中のプロセスチャンバの現在状態或いは必要に応じて特定の各ユーザによって入力されたリクエストの「年令」、又はシステムプログラマがスケジューリング含めたいと望む任意の他の関連ファクターを考慮に入れるよう設計されることができる。
【0070】
シーケンサーサブルーチン1430が、どのプロセスチャンバとプロセスセットの組合わせが次に実行されようとしているかを判断した後、シーケンサーサブルーチン1430は、特定のプロセスセットパラメーターを、シーケンサーサブルーチン1430によって判断されるプロセスセットに従いプロセスチャンバ10内の複数の処理タスクを制御するチャンバー管理サブルーチン1440a〜1440cにパスすることによって、プロセスセットを実行させる。例えば、チャンバー管理サブルーチン1440aは、プロセスチャンバ10内で行うスパッタリング及びCVDプロセス操作を制御するためのプログラムコードを含み、他方、チャンバー管理サブルーチン1440cは、有機膜処理システム110の操作を制御するためのプログラムコードを含む。また、チャンバー管理サブルーチン1440は、選択されプロセスセットをを実行するのに必要なチャンバー構成要素の操作を制御する様々なチャンバー構成要素サブルーチンの実行も制御する。
【0071】
チャンバ10の制御用チャンバー構成要素サブルーチンの例は、基板位置決めサブルーチン1450、プロセスガス制御サブルーチン1460、圧力制御サブルーチン1470、ヒータ制御サブルーチン1480及びプラズマ制御サブルーチン1490である。当業者であれば、どのプロセスをプロセスチャンバ10で実行するのが望まれているかによって、別のチャンバ制御サブルーチンを含めることができるということを理解するであろう。運転時、チャンバー管理サブルーチン1440aは、実行される特定プロセスセットに従って、プロセス構成要素サブルーチンを選択的にスケジューリングするかコールする。チャンバー管理サブルーチン1440aによるスケジューリングは、どのプロセスチャンバ10 及びプロセスセットを実行するかをスケジューリングする中で、シーケンサーサブルーチン1430が用いるものと同様の方法で行われる。通常、チャンバー管理サブルーチン1440aは、様々なチャンバ構成要素をモニターするステップと、どの構成要素が、実行すべきプロセスのプロセスパラメータに基づいて運転する必要があるかを判断するステップと、モニターステップ及び判断ステップに応じてチャンバー構成要素サブルーチンを実行させるステップとを含んでいる。
【0072】
ここで、特定のチャンバ構成要素サブルーチンの動作を、図5を参照して説明する。基板位置決めサブルーチン1450は、ペデスタル44上へ基板をロードするのに用いるチャンバー構成要素を制御するためのプログラムコードを備えている。基板位置決めサブルーチン1450は、有機層を堆積した後、例えば有機膜処理システム110からチャンバ10への基板の移送も制御してもよい。
【0073】
プロセスガス制御サブルーチン1460は、プロセスガス組成及びその流量を制御するためのプログラムコードを有する。サブルーチン1460は、安全遮断バルブの開/閉位置を制御し、所望のガス流量を得るために質量流量コントローラにランプ上昇/下降させる(ramps up/down)。プロセスガス制御サブルーチン1460を含む全チャンバ構成要素サブルーチンは、チャンバー管理サブルーチン1440aにより起動される。サブルーチン1460は、所望のガス流量に対するチャンバー管理サブルーチンからプロセスパラメータを受け取る。通常、プロセスガス制御サブルーチン1460は、ガス供給ラインを開放して、(i)必要な質量流量コントローラを読み取り、(ii)その読みをチャンバー管理サブルーチン1440aから受け取った所望の流量と比較し、(iii)必要であれば、ガス供給ラインの流量を調節する、ことを繰り返すことにより操作する。更に、プロセスガス制御サブルーチン1460は、危険な流量に対してガス流量をモニターするステップと、危険な状態が検出された時に安全遮断バルブを作動させるステップとを含んでいる。
【0074】
プロセスの中には、反応性プロセスガスをチャンバ内に導入する前に、チャンバ内の圧力を安定させるため、アルゴン等の不活性ガスがチャンバ10に流入されるものもある。これらのプロセスでは、プロセスガス制御サブルーチン1460は、チャンバ内の圧力を安定させるのに必要な時間、チャンバ10内に不活性ガスを流入させるステップを含むようプログラムされている。次いで、上記ステップは実行されるであろう。更に、プロセスガスが、液体前駆物質、例えばTEOSから蒸発させられることになっている場合、プロセスガス制御サブルーチン1460は、バブラーアセンブリ内の液体前駆物質を介してヘリウム等の搬送ガスをバブル化するステップを含む。このタイプのプロセスのために、プロセスガス制御サブルーチン1460は、所望のプロセスガス流量を得るため、搬送ガスの流れと、バブラー内の圧力と、バブラー温度とを調節する。上で示すように、所望のプロセスガス流量は、プロセスパラメータとしてプロセスガス制御サブルーチン1460へ転送される。更に、プロセスガス制御サブルーチン1460は、与えられたプロセスガス流量に必要な値を含む記憶テーブルにアクセスすることによって、所望のプロセスガス流量に必要な搬送ガス流量、バブラー圧力、バブラー温度を得るステップを含む。必要な値が得られると、搬送ガス流量、バブラー圧力、バブラー温度は、モニターされて、必要値と比較され、それに従って調節される。
【0075】
圧力制御サブルーチン1470は、チャンバの排気部中のスロットルバルブ12aの開口部サイズを調節することにより、チャンバ10内の圧力を制御するプログラムコードを含む。スロットルバルブ12aの開口部サイズは、全プロセスガスの流れ、プロセスチャンバのサイズ、排気システムの圧送設定点圧力に関して所望レベルにチャンバ圧力を制御するよう設定される。圧力制御サブルーチン1470を起動する場合、所望の、つまり目標の圧力レベルが、パラメータとしてチャンバー管理サブルーチン1440aから受け取られる。圧力制御サブルーチン1470は、チャンバに接続された一つ以上の従来圧力計を読み取ることにより、チャンバ10内の圧力を測定し、測定値を目標圧力と比較し、目標圧力に対応する比例、積分及び微分(PID)値を、記憶された圧力テーブルから得て、圧力テーブルから得たPID値に従ってスロットルバルブ12aを調節する。代替として、圧力制御サブルーチン1470は、所望圧力を達成するため、チャンバ10を調整する特定の開口サイズに、スロットルバルブ12aを開放したり閉鎖したりするよう書き込まれることもできる。
【0076】
ヒータ制御サブルーチン1480は、チャンバ10の温度を制御するプログラムコードを含む。ヒータ制御サブルーチン1480も、チャンバー管理サブルーチン1440aにより起動され、目標、つまり設定点温度パラメータを受け取る。ヒータ制御サブルーチン1480は、ペデスタル44に配置されるサーモカップルの電圧出力を測定することによって温度を測定し、測定温度を設定点温度と比較し、設定点温度を得るため抵抗性加熱エレメント60(必要であれば、BRF発生装置30、50)に印加する電流を増減させる。温度は、測定電圧から、記憶している換算テーブルで対応する温度を調べることにより、又は、4次方程式を用いて温度を算出することにより得られる。
【0077】
プラズマ制御サブルーチン1490は、チャンバ10のRF発生装置32、36及び50に印加するRF電圧パワーレベルを設定し、任意ではあるが、チャンバ内に生じる磁界レベルを設定するプログラムコードを含んでいる。前記チャンバー構成要素サブルーチンと同様に、プラズマ制御サブルーチン1490はチャンバー管理サブルーチン1440aによって起動される。
【0078】
有機膜処理システム110の制御用チャンバ構成要素サブルーチンの例として、基板位置決めサブルーチン1500、分解チャンバ制御サブルーチン1510、圧力制御サブルーチン1520、ゲートバルブ制御サブルーチン1530、ヒータ制御サブルーチン1540及び蒸発チャンバ制御サブルーチン1550等がある。当業者なら、どのプロセスが有機膜処理システム110で行われるのが望ましいかによって、別のチャンバ制御サブルーチンを含め得ることを理解するであろう。操作では、チャンバー管理サブルーチン1440cは、実行される特定プロセスに従うプロセス構成要素サブルーチンを、選択的にスケジューリングするか又は呼び出する。チャンバー管理サブルーチン1440cによるスケジューリング及び制御は、上記チャンバー管理サブルーチン1440aによって用いられる制御と同様の方法で行われる。
【0079】
例えば、基板位置決めサブルーチン1500は、上記基板位置決めサブルーチン1450と同様に機能し、処理チャンバ120への基板の移動及び処理チャンバ120からの基板の移動を制御するために用いてもよい。分解チャンバ制御サブルーチン1510及び蒸発チャンバ制御サブルーチン1550は、堆積される有機材料の分解と蒸発を制御するプログラムコードを有する。これら制御サブルーチンは、圧力制御サブルーチン1520、ゲートバルブ制御サブルーチン1530及びヒータ制御サブルーチン1540とともに作動し、十分な量のダイマーを分解して反応性モノマーを形成し、膜を基板上に堆積する。
【0080】
V.典型的な構造
図6は、本発明の特徴を組み込んだ集積回路210の断面略図を示す。図6に示すように、集積回路210は、NMOS及びPMOSトランジスタ203と206を含み、両トランジスタはフィールド酸化物領域220により互いに隔てられ、電気的に絶縁されている。各トランジスタ203及び206は、ソース領域212、ゲート領域215及びドレイン領域218を備えている。
【0081】
プリメタル(premetal)誘電体層221は、トランジスタ203及び206をメタル層M1と隔て、メタル層M1とトランジスタとの間の接続は、コンタクト224によって成される。メタル層M1は、集積回路210に含まれる4つのメタル層M1〜M4のうちの1つである。各メタル層M1〜M4は、それぞれの金属間誘電体層227(IMD1、IMD2、IMD3)によって隣接するメタル層から隔てられる。隣接するメタル層は、選択開口部でバイアス226により接続されている。メタル層M4上に堆積するのは、平坦化パッシベーション層240である。パッシベーション層240は、IMD層227と同様の方法で構成してもよい。
【0082】
本発明の実施形態において、集積回路210中に示される各誘電体層の利用を見出すことができる。簡略化した集積回路210は、単に説明目的だけであることを理解すべきである。当業者であれば、マイクロプロセッサ、特定用途向け集積回路(ASICS)、記憶デバイス等のような、他の集積回路製造で本方法を実行することもできるであろう。加えて、本発明の方法は、BiCMOS、NMOS、バイポーラ等のような、他の技術を用いる集積回路の製造に用いてもよい。
【0083】
VI.代替の炭素 及びポリマー層で形成された絶縁膜
ここで図7(A)において、本発明の実施形態に従って形成された絶縁膜400を示す。回路210の何れの誘電体層に使用してもよい絶縁膜400は、パリレン族又はテフロン(登録商標)の層(これ以降、集合的に「ポリマー」層と呼ぶ)406a−n内の膜等、多くの有機ポリマー層が存在する多くの炭素ベースの層404a−nを含んでおり、全てメタル層402を含む基板401のステップ形状部を覆って堆積される。炭素ベースの層及びポリマー層は、絶縁膜400の全体比誘電率を減少させ所望のギャップ埋め込み特性を提供する方法で形成及び堆積される。絶縁膜400は、本発明の実施形態に従い形成する場合、約2〜3の比誘電率を示す。
【0084】
図7(B)を参照して説明する本発明の特定の一実施形態では、炭素ベースの層及びポリマー層は、当業者には周知である手段(例えば、化学的機械研磨)を用いてエッチング又は平坦化され、その後キャップ層410で被覆してもよい。代替として、キャップ層410を、エッチング又は平坦化することなく絶縁膜400を覆って堆積させてもよい。
【0085】
図示の特定実施形態において、炭素ベースの層を、第1の層及び最終層として示す。層の数は、異なるギャップ埋め込み特性及び比誘電率を達成するため変化させてもよい。下地の基板及びメタライゼーション層を覆って堆積する第1の層は、炭素ベースの層であるのが最も好ましい。パリレン等のポリマー膜は、炭素膜を覆って堆積させる場合、(Si0 又は金属を覆う堆積と比べて)良好な安定性及びギャップ埋め込み特性を示す。ポリマー膜は一般に、構造安定性(それらの膜は軟質で研磨が難しい)、化学的安定性(水分は膜の安定性を低下させる)、使用中の長期安定性(デバイス作動中の電界と温度は、有機膜を破壊する)を含む、多くの安定性の問題に悩まされる。炭素ベースの膜の層の間にポリマー膜を挟み込むことによって、重合体膜の不安定性は最小になる。異なる膜特性を得るには、各層の相対的な厚さを変化させてもよい。
【0086】
特定の一実施形態では、炭素ベースの層404a−nは、メタン(CH )及びフレオン−14等の炭素及びフッ素のソースを含むプロセスガスから形成される、疑似ダイヤモンド炭素(DLC)材料から成る。また、アセチレン又は別の炭化水素を含め、他の炭素ソースを用いてもよい。例えば、とりわけF2やNF3を含む他のフッ素ソースを用いてもよい。また、「アモルファス炭素」、「硬質炭素」、又は「α炭素」と呼ばれるDLCは、多くの、しかし全てではない、ダイヤモンドの特性を有する化学的に不活性のアモルファス誘電体材料である。DLC膜は、図1の堆積システム10のようなシステムを含む、従来の堆積システムを用いて堆積してもよい。
【0087】
現状において好適な実施形態において、ポリマー層406a−nは、図2の有機膜処理システム110のような堆積システムを用いて堆積されるパリレンから形成される。しかし、テフロン(登録商標)等の別のポリマーを用いてもよい。
【0088】
本発明の実施形態を用いる絶縁膜400の形成を、ここで図8のフロー図500を参照して説明する。基板は最初、図1のプロセスチャンバ10のようなHDP−CVDチャンバに搬送される(ステップ510)。基板は、真空ロックドア(図示せず)を介してプロセスチャンバ10にロードされ、ペデスタル44上へ載置される。基板を適正に位置決めすると、基板上に炭素ベースの膜の堆積を開始させるため、ガス噴射ノズル14からプロセスガスがプロセスチャンバ10に導入される(ステップ520)。プロセスガスは、炭素のガス状ソース及びフッ素のガス状ソースとを含む混合物である。加えて、プロセスガスは、堆積中のスパッタリングを向上させるため濃い不活性ガスのガス状ソースを含んでもよい。
【0089】
好適な実施形態では、ガス混合物は、メタン等の炭素含有ガス、フレオン−14等のフッ素含有ガス、追加成分としてのアルゴン又は類似のガスから成る。好ましくは、メタン及びフレオン−14は、メタンを60〜80%及びフレオン−14を20〜40%含む混合物の状態でプロセスチャンバ10に導入される。アルゴンは、約10〜50sccmの速度で導入し、最も好ましくは約20sccmの速度で導入する。炭素及びフッ素の相対的な量は、異なる膜特性を達成するため変えてもよい。
【0090】
プロセスチャンバ10内の約1ミリtorr〜10torr(好ましくは約5ミリtorrから8torr)で選択した圧力を、真空ポンプ12に関連するスロットルバルブ12aにより、堆積中及びプロセスガスの導入中維持する。また、チャンバ壁の温度を制御することによって、チャンバ10内のウェーハ温度を、0〜500℃(好ましくは約室温から250℃の間)に維持する。
【0091】
処理条件を設定した後、RFエネルギは、誘導プラズマを形成するためSRF発生装置32によってコイル状アンテナ26に印加される。SRF発生装置32は、本プロセスを通じてRFエネルギをコイル状アンテナ26に印加し続ける。SRF発生装置32は、2Mhzの周波数、約15〜4500W、好ましくは少くとも2000Wで駆動される。8インチウェーハの処理に適応するHDP−CVDチャンバのためには、SRF発生装置は、約40W/in2以上のパワー密度を生じるよう運転するのが好ましい。これらの条件で選択された周期間プラズマを維持すると、炭素ベースの層が堆積される。
【0092】
好ましくは、炭素ベースの層404a−nの堆積の間、プラズマは、基板に向かってバイアスされ、BRF発生装置50からペデスタル44まで容量結合RFエネルギによって更に励起される。10〜1500VのマイナスのDC電圧を印加することによって、プラズマを基板へ向けてバイアスさせるのが好ましい。ペデスタル44へ向かうイオン衝撃を増加させることにより、スパッタリングが励起され、それによって、成長膜は、接近した間隔のギャップをより良好に埋め込みすることができる。また、他の周波数及びパワーレベルを、基板へ向けてプラズマをバイアスするのに用いてもよい。また、負バイアス電圧を基板に印加することにより、高品質炭素ベースの膜を生じさせる操作が行われる。
【0093】
炭素ベースの層が堆積されると、基板は、図2の有機チャンバ110へ搬送され(ステップ530)、基板支持体122上に載置される。基板支持体122は、チラー138によって約10℃の温度で維持される。微粒子であるジ−p−キシリレンは、蒸発器124内へロードされ、加熱コイル126を用いて約150℃の温度に加熱される。ゲートバルブl28はその後、蒸発器124内のダイマーの蒸気が分解チャンバ130へ入ることができるよう開放される。分解チャンバ130は、約600℃の温度に維持される。ゲートバルブ132は、蒸発した反応性p−キシリレンが堆積チャンバ120に流入できるよう開放される。堆積チャンバ120の蓋部172は、壁部160(図3)が約100℃に維持されている間、約150℃の温度に維持される。次いで、約50sccmのN2ガス(8インチウェーハ1枚を収容するチャンバ容積用)は、堆積中、コールドトラップ148に流入し、スロットルバルブ142は、約50ミリtorrのチャンバ圧力を維持するよう制御される。反応性p−キシリレンモノマー蒸気は、冷却された基板200と接触し、その上に重合する。これらのプロセス条件は、所望の厚さのパリレン膜を堆積するよう維持される(ステップ540)。
【0094】
基板200上でのポリマー膜堆積の終了時、必要に応じて、炭素及びポリマー膜の層を更に堆積してもよい(ステップ550)。より多くを必要とする場合、ステップ510〜540を上記の通り繰り返す。炭素ベースの層内のフッ素と炭素の相対量は、安定性及び全体的に低い比誘電率を達成するため変化させるのが好ましい。特定の一実施形態では、層404aは、実質的にフッ素なしで形成され、厚さ100オングストロームである。これは、後続のポリマー層406aの堆積のための安定ベースを提供する、基板401を覆う薄層を生じる。層404b−nは、膜の比誘電率を低下させるため高いパーセンテージのフッ素で形成される。頂部の炭素ベース層は、膜の熱伝導率を増加させるため高いパーセンテージの炭素を含むように形成してもよい。
【0095】
比誘電率が低い膜はプロセスガス中で用いるフッ素のパーセンテージを増加させることによって生じるのに対して、膜安定性は使用したフッ素量を減少させることによって高められる。現状において好適な実施形態では、各炭素ベースの層404a−nは、炭素とフッ素の相対量を異ならせて形成される。好ましくは、下側層(例えば、層404a)は、最も高いパーセンテージの炭素ソース、例えば、メタンが80〜100%及びフレオン−14が0〜20%(これがより高い安定性を有する膜を生じることが分かった)で形成され、一方、上側層は、高いパーセンテージのフッ素ソース、例えばメタンが約60〜80%そしてフレオン−14が約20〜40%(これがより低い比誘電率を有する膜を生じることが分かった)で形成される。
【0096】
他の炭素ソース(アセチレン等の他の炭化水素を含む)及び他のフッ素ソースを、本発明に従う絶縁膜400を形成するために用いることもできる。また、他の有機膜を用いることもできる。更に、上記プロセスで挙げたパラメータは、ここに記載する特許請求の範囲を限定するものではない。また、当業者であれば、他の化学薬品、周囲パラメータ、周囲状況を用いることができるであろう。
【0097】
好適な実施形態では、各パリレン層は、炭素ベースの層の間に挟み込まれる。それ故、本実施形態において、最終パリレン層の堆積後、基板は上記と同様の方法で、最終炭素ベース層の堆積(ステップ570)のためにCVDチャンバに搬送される(ステップ560)。
【0098】
必要或いは適切な場合、キャップ層410を、絶縁膜400を覆って堆積してもよい。現状において好適な実施形態では、キャップ層410は、上で引用した米国特許第5,000,113号明細書に記載のチャンバのようなPECVDチャンバ内で形成されるドープされていない酸化ケイ素PECVD層である。それ故、本実施形態では、基板は先ずCVDチャンバへ搬送される(ステップ580)。次いで、例えば、TEOS前駆ガスと酸素前駆ガス、又は、シラン前駆ガスと酸素前駆ガスとの混合物を含むプロセスガスから形成される層を堆積させる(ステップ590)。このプロセスガスがチャンバに導入される間、温度、圧力及び他の処理条件が、キャップ層410を堆積するため制御される。現状において好適な実施形態では、キャップ層410は、チャンバ圧力が約6〜12torr、 及びチャンバ温度が約360〜440℃で堆積される。キャップ層410は、単一又は混合周波数のRFパワーいずれかの印加によって生じるプラズマを用いて形成される。混合周波数のRF電源を用いる場合、13.56MHzの高周波RFソースは、約150〜1000W、好ましくは約600〜800Wで駆動される。そして、約200kHz〜2MHzの低周波RFソースは、約50〜500W、好ましくは約50〜150Wで駆動される。
【0099】
重合体層406a−nの特性は、それらの厚さを変更することによって変化させてもよい。より厚いポリマー層は、低い比誘電率を有する膜を生じる。各層406a−nの厚さは、低下された比誘電率を有する多層の絶縁膜を生成するように必要に応じて変化させてもよい。絶縁膜は、例えばIMD用途に適する膜を作成する良好なギャップ埋め込み特性及び熱特性を示す。
【0100】
本発明の方法は、上で設定した特定パラメータによって制限されるものではない。当業者であれば、異なる処理条件及び異なる反応物質ソースが、本発明の精神から逸脱することなく使用可能であることが判るであろう。本発明に従った絶縁膜堆積方法の別の同等な方法又は代替方法は、当業者には明らかである。これらの同等方法及び代替方法は、本発明の適用範囲内に含まれるものである。従って、添付の特許請求範囲で提供されるものを除いて、本発明を制限することを意図するものではない。
【図面の簡単な説明】
【図1】本発明の実施形態に従って用いられる具体的なCVD処理チャンバの断面図である。
【図2】本発明の実施形態に従って用いられる有機膜処理システムの概略図である。
【図3】図2で概略的に示した堆積チャンバの垂直断面図である。
【図4】図1及び図2の処理システムに関して用いられる具体的なシステムモニターの図である。
【図5】図1及び図2の処理システムを制御するために用いられる、具体的なプロセス制御コンピュータプログラムプロダクトのフローチャートである。
【図6】本発明に従った集積回路の断面略図である。
【図7】(A)は、本発明の一実施形態に従って堆積された絶縁膜の断面略図であり、(B)は、本発明の別の実施形態に従って堆積された絶縁膜の断面略図である。
【図8】本発明に従ったプロセスを用いて絶縁膜を被膜する際に実行されるステップを示すフローチャートである。

Claims (11)

  1. 基板上に絶縁膜を形成する方法であって、
    (a)前記基板上にフッ素の無い第1の炭素ベースの膜を形成するステップと、
    (b)前記第1の炭素ベースの膜上にポリマー膜を形成するステップと、
    (c)前記第1の炭素ベースの膜及び前記ポリマー膜の上に、前記第1の炭素ベースの膜よりも多くのフッ素を含む最終的な炭素ベースの膜を形成するステップと、
    を有する方法。
  2. 前記最終的な炭素ベースの膜を形成する前記ステップ(c)が、
    炭素のガス状ソース及びフッ素のガス状ソースを含むプロセスガスをチャンバに導入するステップと、
    第1のRFパワー構成要素を印加することにより、前記プロセスガスからプラズマを形成するステップと、
    を更に有する請求項1に記載の方法。
  3. 前記最終的な炭素ベースの膜を形成する前記ステップ(c)が、
    前記プラズマのスパッタリング効果を促進するために前記基板に向かって前記プラズマをバイアスさせるステップを更に有する請求項2に記載の方法。
  4. 前記炭素のガス状ソースが、メタン(CH )である請求項2に記載の方法。
  5. 前記炭素のガス状ソースが、炭化水素である請求項2に記載の方法。
  6. 前記フッ素のガス状ソースが、CF である請求項2に記載の方法。
  7. 前記炭素のガス状ソースが前記プロセスガスの60〜80%を形成し、前記フッ素のガス状ソースが前記プロセスガスの20〜40%を形成している請求項2に記載の方法。
  8. ポリマー膜を形成する前記ステップ(b)が、
    重合可能な材料を蒸発させるステップと、
    前記蒸発させた重合可能な材料を堆積チャンバに流入させるステップと、
    を更に含む請求項1に記載の方法。
  9. 前記蒸発させた重合可能な材料をキャリヤガスと混合するステップを更に有する請求項8に記載の方法。
  10. 基板上に炭素ベースの層とポリマー層とを含む多層の絶縁膜を形成するシステムであって、
    前記基板上に第1の炭素ベースの層を堆積し、且つ、前記多層の絶縁膜の最終的な炭素ベースの層を堆積するための第1の装置と、
    前記第1の炭素ベースの層上にポリマー層を堆積するための第2の装置と、
    前記基板を前記第1装置と前記第2の装置との間で搬送するための基板搬送システムと、
    前記第1の装置と前記第2の装置と前記基板搬送システムとに連結されている、前記第1の装置と前記第2の装置と前記基板搬送システムとを制御するためのシステムコントローラと、
    を備え、
    前記システムコントローラは、前記システムの動作を指示し、前記基板上に前記多層の絶縁膜の堆積を生じさせるためのコンピュータ読取可能プログラムを記憶する記憶装置を有し、
    前記コンピュータ読取可能プログラムは、
    前記基板を前記第1の装置に搬送するよう前記基板搬送システムを制御する命令の第1のセットと、
    前記基板上にフッ素の無い前記第1の炭素ベースの層を堆積するよう前記第1の装置を制御する命令の第2のセットと、
    前記基板を前記第2の装置に搬送するよう前記基板搬送システムを制御する命令の第3のセットと、
    前記第1の炭素ベースの層上に前記ポリマー層を堆積するよう前記第2の装置を制御する命令の第4のセットと、
    前記基板を前記第1の装置に搬送するよう前記基板搬送システムを制御する命令の第5のセットと、
    前記第1の炭素ベースの膜よりも多くのフッ素を含む前記最終的な炭素ベースの層を、前記第1の炭素ベースの層及び前記ポリマー層の上に堆積するよう前記第1の装置を制御する命令の第6のセットと、
    を備える、システム。
  11. 半導体基板上に形成する集積回路であって、
    (a)前記半導体基板内に形成された複数の能動素子と、
    (b)前記半導体基板より上に形成された少なくとも1層のメタル層と、
    (c)前記メタル層と前記半導体基板との間に形成された少なくとも1層の絶縁層であって、パターンニングされた複数の孔部を有しており、該複数の孔部が、前記メタル層の選択された部分を前記半導体基板の選択された部分と電気接続するために、導電性材料で埋め込まれている、該絶縁層と、
    を備えており、
    前記絶縁層が、フッ素の無い第1の炭素ベースの層、ポリマー層、及び前記第1の炭素ベースの膜よりも多くのフッ素を含む最終的な炭素ベースの層を備えており、前記第1の炭素ベースの層及び前記最終的な炭素ベースの層がプラズマ励起化学的気相堆積プロセスを用いて堆積され、前記ポリマー層が有機体材料堆積システムを用いて堆積されたものである集積回路。
JP34356497A 1996-11-07 1997-11-07 低誘電率の多層膜を堆積するための方法及び装置 Expired - Fee Related JP4159128B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/749,290 US5804259A (en) 1996-11-07 1996-11-07 Method and apparatus for depositing a multilayered low dielectric constant film
US08/749290 1996-11-07

Publications (2)

Publication Number Publication Date
JPH10189569A JPH10189569A (ja) 1998-07-21
JP4159128B2 true JP4159128B2 (ja) 2008-10-01

Family

ID=25013116

Family Applications (1)

Application Number Title Priority Date Filing Date
JP34356497A Expired - Fee Related JP4159128B2 (ja) 1996-11-07 1997-11-07 低誘電率の多層膜を堆積するための方法及び装置

Country Status (4)

Country Link
US (1) US5804259A (ja)
JP (1) JP4159128B2 (ja)
KR (1) KR100509417B1 (ja)
TW (1) TW379367B (ja)

Families Citing this family (255)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5950092A (en) * 1995-06-02 1999-09-07 Micron Technology, Inc. Use of a plasma source to form a layer during the formation of a semiconductor device
US6716769B1 (en) * 1995-06-02 2004-04-06 Micron Technology, Inc. Use of a plasma source to form a layer during the formation of a semiconductor device
US7294578B1 (en) 1995-06-02 2007-11-13 Micron Technology, Inc. Use of a plasma source to form a layer during the formation of a semiconductor device
JP3305211B2 (ja) 1996-09-10 2002-07-22 松下電器産業株式会社 半導体装置及びその製造方法
JPH10326837A (ja) 1997-03-25 1998-12-08 Toshiba Corp 半導体集積回路装置の製造方法、半導体集積回路装置、半導体装置、及び、半導体装置の製造方法
US5968610A (en) * 1997-04-02 1999-10-19 United Microelectronics Corp. Multi-step high density plasma chemical vapor deposition process
EP0872879A1 (en) 1997-04-15 1998-10-21 STMicroelectronics S.r.l. Process of final passivation of an integrated circuit device
JP3202657B2 (ja) * 1997-05-23 2001-08-27 日本電気株式会社 半導体装置の製造方法
US6035803A (en) * 1997-09-29 2000-03-14 Applied Materials, Inc. Method and apparatus for controlling the deposition of a fluorinated carbon film
US6211065B1 (en) * 1997-10-10 2001-04-03 Applied Materials, Inc. Method of depositing and amorphous fluorocarbon film using HDP-CVD
JP3469761B2 (ja) * 1997-10-30 2003-11-25 東京エレクトロン株式会社 半導体デバイスの製造方法
JP3199006B2 (ja) * 1997-11-18 2001-08-13 日本電気株式会社 層間絶縁膜の形成方法および絶縁膜形成装置
JP3429171B2 (ja) * 1997-11-20 2003-07-22 東京エレクトロン株式会社 プラズマ処理方法及び半導体デバイスの製造方法
US6784123B2 (en) * 1998-02-05 2004-08-31 Asm Japan K.K. Insulation film on semiconductor substrate and method for forming same
JP3189781B2 (ja) * 1998-04-08 2001-07-16 日本電気株式会社 半導体装置の製造方法
US6136725A (en) * 1998-04-14 2000-10-24 Cvd Systems, Inc. Method for chemical vapor deposition of a material on a substrate
US6296711B1 (en) * 1998-04-14 2001-10-02 Cvd Systems, Inc. Film processing system
US6200911B1 (en) 1998-04-21 2001-03-13 Applied Materials, Inc. Method and apparatus for modifying the profile of narrow, high-aspect-ratio gaps using differential plasma power
JP3190886B2 (ja) * 1998-06-17 2001-07-23 日本電気株式会社 高分子膜の成長方法
KR100319185B1 (ko) * 1998-07-31 2002-01-04 윤종용 반도체 장치의 절연막 형성 방법
US6203863B1 (en) * 1998-11-27 2001-03-20 United Microelectronics Corp. Method of gap filling
US6057245A (en) * 1999-01-19 2000-05-02 Vlsi Technology, Inc. Gas phase planarization process for semiconductor wafers
DE19926924C2 (de) * 1999-06-14 2002-05-08 Hemoteq Gmbh Verfahren und Vorrichtung zur Oberflächenbehandlung eines Substrates mit einer Polymerschicht aus Poly-p-Xylylen
US6274514B1 (en) 1999-06-21 2001-08-14 Taiwan Semiconductor Manufacturing Company HDP-CVD method for forming passivation layers with enhanced adhesion
US6423384B1 (en) * 1999-06-25 2002-07-23 Applied Materials, Inc. HDP-CVD deposition of low dielectric constant amorphous carbon film
US6153543A (en) * 1999-08-09 2000-11-28 Lucent Technologies Inc. High density plasma passivation layer and method of application
US6596123B1 (en) * 2000-01-28 2003-07-22 Applied Materials, Inc. Method and apparatus for cleaning a semiconductor wafer processing system
DE10007059A1 (de) * 2000-02-16 2001-08-23 Aixtron Ag Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
KR20010087598A (ko) * 2000-03-08 2001-09-21 황 철 주 Hdp-cvd 장치 및 이를 이용한 갭 필링 방법
JP2001267310A (ja) * 2000-03-17 2001-09-28 Tokyo Electron Ltd プラズマ成膜方法及びその装置
US7011710B2 (en) * 2000-04-10 2006-03-14 Applied Materials Inc. Concentration profile on demand gas delivery system (individual divert delivery system)
US6559026B1 (en) * 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
US6559052B2 (en) 2000-07-07 2003-05-06 Applied Materials, Inc. Deposition of amorphous silicon films by high density plasma HDP-CVD at low temperatures
US6372661B1 (en) 2000-07-14 2002-04-16 Taiwan Semiconductor Manufacturing Company Method to improve the crack resistance of CVD low-k dielectric constant material
EP1275133A1 (de) * 2000-10-19 2003-01-15 Robert Bosch Gmbh Vorrichtung und verfahren zum ätzen eines substrates mittels eines induktiv gekoppelten plasmas
US6514870B2 (en) 2001-01-26 2003-02-04 Applied Materials, Inc. In situ wafer heat for reduced backside contamination
US6613656B2 (en) * 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
US6447651B1 (en) 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
US6740601B2 (en) 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
US6596653B2 (en) 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
RU2218364C2 (ru) * 2001-07-27 2003-12-10 Федеральное государственное унитарное предприятие "Научно-исследовательский физико-химический институт им. Л.Я. Карпова" ПЛЕНКА ИЗ ПОЛИ ( α,α,α′,α′- ТЕТРАФТОРПАРАКСИЛИЛЕНА), СПОСОБ ЕЕ ПОЛУЧЕНИЯ И ПОЛУПРОВОДНИКОВЫЙ ПРИБОР С ЕЕ ИСПОЛЬЗОВАНИЕМ
RU2218365C2 (ru) * 2001-07-27 2003-12-10 Федеральное государственное унитарное предприятие "Научно-исследовательский физико-химический институт им. Л.Я.Карпова" Пористая пленка из полипараксилилена и его замещенных, способ ее получения и полупроводниковый прибор с её использованием
EP1448807A4 (en) * 2001-10-30 2005-07-13 Massachusetts Inst Technology FLUORO CARBON ORGANOSILICIUM COPOLYMERS AND COATINGS MADE ACCORDING TO THE HFCVD PROCEDURE
US7208195B2 (en) * 2002-03-27 2007-04-24 Ener1Group, Inc. Methods and apparatus for deposition of thin films
US6541397B1 (en) * 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US6812153B2 (en) * 2002-04-30 2004-11-02 Applied Materials Inc. Method for high aspect ratio HDP CVD gapfill
KR100473806B1 (ko) * 2002-09-28 2005-03-10 한국전자통신연구원 유기물 박막 및 유기물 소자를 위한 대면적 유기물 기상증착 장치 및 제조 방법
US7628897B2 (en) * 2002-10-23 2009-12-08 Applied Materials, Inc. Reactive ion etching for semiconductor device feature topography modification
US7097886B2 (en) * 2002-12-13 2006-08-29 Applied Materials, Inc. Deposition process for high aspect ratio trenches
US6808748B2 (en) * 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7081414B2 (en) * 2003-05-23 2006-07-25 Applied Materials, Inc. Deposition-selective etch-deposition process for dielectric film gapfill
US6958112B2 (en) * 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
US7205240B2 (en) * 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US20050271893A1 (en) * 2004-06-04 2005-12-08 Applied Microstructures, Inc. Controlled vapor deposition of multilayered coatings adhered by an oxide layer
JP4272486B2 (ja) * 2003-08-29 2009-06-03 東京エレクトロン株式会社 薄膜形成装置及び薄膜形成装置の洗浄方法
US6903031B2 (en) 2003-09-03 2005-06-07 Applied Materials, Inc. In-situ-etch-assisted HDP deposition using SiF4 and hydrogen
US7087497B2 (en) * 2004-03-04 2006-08-08 Applied Materials Low-thermal-budget gapfill process
US7018941B2 (en) * 2004-04-21 2006-03-28 Applied Materials, Inc. Post treatment of low k dielectric films
US20050260356A1 (en) * 2004-05-18 2005-11-24 Applied Materials, Inc. Microcontamination abatement in semiconductor processing
US7229931B2 (en) 2004-06-16 2007-06-12 Applied Materials, Inc. Oxygen plasma treatment for enhanced HDP-CVD gapfill
US7183227B1 (en) 2004-07-01 2007-02-27 Applied Materials, Inc. Use of enhanced turbomolecular pump for gapfill deposition using high flows of low-mass fluent gas
JP4550507B2 (ja) * 2004-07-26 2010-09-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US7087536B2 (en) 2004-09-01 2006-08-08 Applied Materials Silicon oxide gapfill deposition using liquid precursors
US7465475B2 (en) * 2004-11-09 2008-12-16 Eastman Kodak Company Method for controlling the deposition of vaporized organic material
US7510624B2 (en) * 2004-12-17 2009-03-31 Applied Materials, Inc. Self-cooling gas delivery apparatus under high vacuum for high density plasma applications
US7722719B2 (en) * 2005-03-07 2010-05-25 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US7375039B2 (en) * 2005-05-24 2008-05-20 International Business Machines Corporation Local plasma processing
WO2007003502A2 (de) * 2005-07-01 2007-01-11 Siemens Aktiengesellschaft Parylen-beschichtung und verfahren zum herstellen einer parylen-beschichtung
US20070108161A1 (en) * 2005-11-17 2007-05-17 Applied Materials, Inc. Chamber components with polymer coatings and methods of manufacture
KR100688023B1 (ko) * 2005-12-28 2007-02-27 동부일렉트로닉스 주식회사 반도체 소자의 제조 방법
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US20080124944A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US20080121177A1 (en) * 2006-11-28 2008-05-29 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US7740706B2 (en) * 2006-11-28 2010-06-22 Applied Materials, Inc. Gas baffle and distributor for semiconductor processing chamber
US7758698B2 (en) * 2006-11-28 2010-07-20 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US7939422B2 (en) * 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
US20080142483A1 (en) * 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US8900695B2 (en) * 2007-02-23 2014-12-02 Applied Microstructures, Inc. Durable conformal wear-resistant carbon-doped metal oxide-comprising coating
US20080248263A1 (en) * 2007-04-02 2008-10-09 Applied Microstructures, Inc. Method of creating super-hydrophobic and-or super-hydrophilic surfaces on substrates, and articles created thereby
US8236379B2 (en) * 2007-04-02 2012-08-07 Applied Microstructures, Inc. Articles with super-hydrophobic and-or super-hydrophilic surfaces and method of formation
US20080254233A1 (en) * 2007-04-10 2008-10-16 Kwangduk Douglas Lee Plasma-induced charge damage control for plasma enhanced chemical vapor deposition processes
US8021492B2 (en) * 2007-05-29 2011-09-20 United Microelectronics Corp. Method of cleaning turbo pump and chamber/turbo pump clean process
US7678715B2 (en) 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
EP2274458B1 (en) * 2008-05-07 2020-03-25 The Trustees of Princeton University Method for protecting electronic devices by means of hybrid layers
DE102009003781A1 (de) * 2008-06-03 2009-12-10 Aixtron Ag Verfahren zum Abscheiden eines dünnschichtigen Polymers in einer Niederdruckgasphase
DE102008026974A1 (de) * 2008-06-03 2009-12-10 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden dünner Schichten aus polymeren Para-Xylylene oder substituiertem Para-Xylylene
JP5077155B2 (ja) * 2008-09-05 2012-11-21 東京エレクトロン株式会社 成膜装置及びそのクリーニング方法
FR2950080B1 (fr) * 2009-09-17 2012-03-02 Essilor Int Procede et dispositif de depot chimique en phase gazeuse d'un film polymere sur un substrat
DE102010010819A1 (de) * 2010-03-10 2011-09-15 Osram Opto Semiconductors Gmbh Verfahren und Vorrichtung zur Herstellung einer Parylen-Beschichtung
US20110265951A1 (en) * 2010-04-30 2011-11-03 Applied Materials, Inc. Twin chamber processing system
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8497211B2 (en) 2011-06-24 2013-07-30 Applied Materials, Inc. Integrated process modulation for PSG gapfill
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) * 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
JP5310915B2 (ja) * 2012-08-27 2013-10-09 東京エレクトロン株式会社 成膜装置及びそのクリーニング方法
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
EP2917925B1 (en) * 2012-11-07 2019-10-16 Carver Scientific, Inc. High energy density electrostatic capacitor
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
FR3053159B1 (fr) * 2016-06-23 2019-05-10 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de fabrication d'une structure de transistors comportant une etape de bouchage
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
JP6726142B2 (ja) * 2017-08-28 2020-07-22 信越化学工業株式会社 有機膜形成用組成物、半導体装置製造用基板、有機膜の形成方法、パターン形成方法、及び重合体
JP6940335B2 (ja) 2017-08-30 2021-09-29 信越化学工業株式会社 有機膜形成用組成物、半導体装置製造用基板、有機膜の形成方法、パターン形成方法、及び重合体
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
KR102185735B1 (ko) * 2017-11-30 2020-12-02 시너스텍 주식회사 파릴렌 증착 장치 및 방법
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
WO2019212592A1 (en) * 2018-05-03 2019-11-07 Applied Materials, Inc. Pulsed plasma (dc/rf) deposition of high quality c films for patterning
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
GB201820625D0 (en) * 2018-12-18 2019-01-30 Univ Surrey Single run deposition for forming supercomposite structures
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN113943933B (zh) * 2020-07-16 2023-09-29 江苏菲沃泰纳米科技股份有限公司 多层结构的复合膜及其制备方法和产品
CN112366205B (zh) * 2020-11-09 2021-10-22 长江存储科技有限责任公司 一种半导体器件及其制备方法
CN114990484A (zh) * 2022-06-21 2022-09-02 中国科学院宁波材料技术与工程研究所 一种耐腐蚀的复合碳基涂层及其制备方法与应用

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3326376A1 (de) * 1983-07-22 1985-01-31 Siemens AG, 1000 Berlin und 8000 München Verfahren zum erzeugen von glimmpolymerisat-schichten
US4743327A (en) * 1984-06-15 1988-05-10 Cordis Corporation Adhesive bonding of fluoropolymers
US5238705A (en) * 1987-02-24 1993-08-24 Semiconductor Energy Laboratory Co., Ltd. Carbonaceous protective films and method of depositing the same
EP0393271A1 (en) * 1987-08-08 1990-10-24 The Standard Oil Company Fluoropolymer thin film coatings and method of preparation by plasma polymerization
JP2610469B2 (ja) * 1988-02-26 1997-05-14 株式会社 半導体エネルギー研究所 炭素または炭素を主成分とする被膜を形成する方法
CA2044543C (en) * 1990-08-10 1999-12-14 Louis Kimball Bigelow Multi-layer superhard film structure
US5198263A (en) * 1991-03-15 1993-03-30 The United States Of America As Represented By The United States Department Of Energy High rate chemical vapor deposition of carbon films using fluorinated gases
US5290383A (en) * 1991-03-24 1994-03-01 Tokyo Electron Limited Plasma-process system with improved end-point detecting scheme
US5270082A (en) * 1991-04-15 1993-12-14 Lin Tyau Jeen Organic vapor deposition process for corrosion protection of metal substrates
US5244730A (en) * 1991-04-30 1993-09-14 International Business Machines Corporation Plasma deposition of fluorocarbon
CA2072384A1 (en) * 1991-08-29 1993-03-01 Clifford L. Spiro Carbon fluoride compositions
US5276537A (en) * 1992-01-30 1994-01-04 Physical Optics Corporation Diamondlike carbon thin film protected hologram and method of making same
CA2089288A1 (en) * 1992-03-20 1993-09-21 David E. Slutz Multilayer cvd diamond films
JP3688726B2 (ja) * 1992-07-17 2005-08-31 株式会社東芝 半導体装置の製造方法
JPH0758306A (ja) * 1993-08-11 1995-03-03 Nec Corp 光センサ素子
US5614055A (en) * 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5424097A (en) * 1993-09-30 1995-06-13 Specialty Coating Systems, Inc. Continuous vapor deposition apparatus
US5563105A (en) * 1994-09-30 1996-10-08 International Business Machines Corporation PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element
US5512330A (en) * 1994-10-04 1996-04-30 Dearnaley; Geoffrey Parylene precursors for diamond-like carbon coatings
US5638251A (en) * 1995-10-03 1997-06-10 Advanced Refractory Technologies, Inc. Capacitive thin films using diamond-like nanocomposite materials
US5643640A (en) * 1995-11-27 1997-07-01 International Business Machines Corporation Fluorine doped plasma enhanced phospho-silicate glass, and process

Also Published As

Publication number Publication date
KR100509417B1 (ko) 2005-10-21
US5804259A (en) 1998-09-08
TW379367B (en) 2000-01-11
JPH10189569A (ja) 1998-07-21
KR19980042188A (ko) 1998-08-17

Similar Documents

Publication Publication Date Title
JP4159128B2 (ja) 低誘電率の多層膜を堆積するための方法及び装置
US6035803A (en) Method and apparatus for controlling the deposition of a fluorinated carbon film
US5648175A (en) Chemical vapor deposition reactor system and integrated circuit
KR100743789B1 (ko) Hdp-cvd를 이용하여 장벽층을 증착하기 위한 방법및 장치
JP4427183B2 (ja) 金属上への誘電体の接着性改善方法
US7802538B2 (en) Method to deposit functionally graded dielectric films via chemical vapor deposition using viscous precursors
US7132134B2 (en) Staggered in-situ deposition and etching of a dielectric layer for HDP CVD
US6340435B1 (en) Integrated low K dielectrics and etch stops
US5908672A (en) Method and apparatus for depositing a planarized passivation layer
US6649540B2 (en) Organosilane CVD precursors and their use for making organosilane polymer low-k dielectric film
US6319324B1 (en) Method and apparatus for elimination of TEOS/ozone silicon oxide surface sensitivity
EP0934433B1 (en) Method for depositing fluorine doped silicon dioxide films
US6468927B1 (en) Method of depositing a nitrogen-doped FSG layer
JP2000200784A (ja) 比誘電率の低いナノ多孔性コポリマ―膜
US20030049388A1 (en) Silicon carbide deposited by high density plasma chemical-vapor deposition with bias
US6663713B1 (en) Method and apparatus for forming a thin polymer layer on an integrated circuit structure
US6289843B1 (en) Method and apparatus for improving the film quality of plasma enhanced CVD films at the interface
JPH1079387A (ja) シリカ膜のフッ素化による応力制御
US6911403B2 (en) Methods of reducing plasma-induced damage for advanced plasma CVD dielectrics
US6753270B1 (en) Process for depositing a porous, low dielectric constant silicon oxide film
EP1054444A1 (en) Process for depositing a porous, low dielectric constant silicon oxide film
JPH09199501A (ja) SiF4を用いて安定な弗素ドープ膜を堆積するプロセス及び装置
JPH10313000A (ja) 炭素、ケイ素、フッ素をベースとした材料を用いた、imd用途のための低誘電定数プロセス

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20041101

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20070727

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070814

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20071114

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20071114

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20071119

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071213

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20080108

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20080403

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20080408

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20080501

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20080501

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20080701

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080715

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110725

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110725

Year of fee payment: 3

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: R3D02

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110725

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120725

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120725

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130725

Year of fee payment: 5

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees