JP4011695B2 - Chip for multi-chip semiconductor device and method for forming the same - Google Patents

Chip for multi-chip semiconductor device and method for forming the same Download PDF

Info

Publication number
JP4011695B2
JP4011695B2 JP30578497A JP30578497A JP4011695B2 JP 4011695 B2 JP4011695 B2 JP 4011695B2 JP 30578497 A JP30578497 A JP 30578497A JP 30578497 A JP30578497 A JP 30578497A JP 4011695 B2 JP4011695 B2 JP 4011695B2
Authority
JP
Japan
Prior art keywords
chip
hole
insulating film
metal
semiconductor substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP30578497A
Other languages
Japanese (ja)
Other versions
JPH10223833A (en
Inventor
伸夫 早坂
勝弥 奥村
圭一 佐々木
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP30578497A priority Critical patent/JP4011695B2/en
Publication of JPH10223833A publication Critical patent/JPH10223833A/en
Priority to US09/377,486 priority patent/US6809421B1/en
Priority to US10/902,391 priority patent/US7335517B2/en
Priority to US11/980,641 priority patent/US7829975B2/en
Application granted granted Critical
Publication of JP4011695B2 publication Critical patent/JP4011695B2/en
Priority to US12/926,104 priority patent/US8174093B2/en
Priority to US13/067,180 priority patent/US8283755B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/0401Bonding areas specifically adapted for bump connectors, e.g. under bump metallisation [UBM]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/0557Disposition the external layer being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/05571Disposition the external layer being disposed in a recess of the surface
    • H01L2224/05572Disposition the external layer being disposed in a recess of the surface the external layer extending out of an opening
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/13005Structure
    • H01L2224/13009Bump connector integrally formed with a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/12Structure, shape, material or disposition of the bump connectors prior to the connecting process
    • H01L2224/13Structure, shape, material or disposition of the bump connectors prior to the connecting process of an individual bump connector
    • H01L2224/13001Core members of the bump connector
    • H01L2224/1302Disposition
    • H01L2224/13025Disposition the bump connector being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16135Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip
    • H01L2224/16145Disposition the bump connector connecting between different semiconductor or solid-state bodies, i.e. chip-to-chip the bodies being stacked
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/17Structure, shape, material or disposition of the bump connectors after the connecting process of a plurality of bump connectors
    • H01L2224/1751Function
    • H01L2224/17515Bump connectors having different functions
    • H01L2224/17519Bump connectors having different functions including bump connectors providing primarily thermal dissipation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32245Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being metallic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73251Location after the connecting process on different surfaces
    • H01L2224/73253Bump and layer connectors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06555Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking
    • H01L2225/06568Geometry of the stack, e.g. form of the devices, geometry to facilitate stacking the devices decreasing in size, e.g. pyramidical stack
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13091Metal-Oxide-Semiconductor Field-Effect Transistor [MOSFET]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/1517Multilayer substrate
    • H01L2924/15192Resurf arrangement of the internal vias
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1532Connection portion the connection portion being formed on the die mounting surface of the substrate
    • H01L2924/1533Connection portion the connection portion being formed on the die mounting surface of the substrate the connection portion being formed both on the die mounting surface of the substrate and outside the die mounting surface of the substrate
    • H01L2924/15331Connection portion the connection portion being formed on the die mounting surface of the substrate the connection portion being formed both on the die mounting surface of the substrate and outside the die mounting surface of the substrate being a ball array, e.g. BGA

Landscapes

  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

PROBLEM TO BE SOLVED: To provide a multi-chip semiconductor device whose device area is small, whose constitution is simple and whose thickness is thin by providing a connection plug formed of metal in a through hole passing through a semiconductor substrate and an inter-layer insulating film and electrically connecting one chip with the other chip through the connection plug. SOLUTION: A metal plug 4 is formed on the outer side of an element forming area and the insulating films 5 are provided between the metal plug 4 and the silicon substrate 1/the first inter-layer insulating film so as to constitute the connection plug. The metal plug 4 of the chip 11 is electrically connected to the pad 6 provided for the multilayer wiring layer 3 of the chip 12 through a solder bump 8. The chip 11 is electrically connected to the chip 12 . The metal plug 4 of the chip 12 is electrically connected to the pad 6 provided for the multilayer wiring layer 3 of the chip 13 through the solder bump 8. Thus, the chips 11 , 12 and 13 are electrically connected. Since the chips 11 , 12 and 13 are stacked, the device area is prevented from increasing.

Description

【0001】
【発明の属する技術分野】
本発明は、マルチチップ半導体層用チップおよびその形成方法に関する。
【0002】
【従来の技術】
近年、コンピュ−タ−や通信機器の重要部分には、多数のトランジスタや抵抗等を電気回路を達成するようにむすびつけ、半導体基板上に集積化して形成した大規模集積回路(チップ)が多用されている。このため、機器全体の性能は、チップ単体の性能と大きく結び付いている。
【0003】
一方、複数のチップを用いて、機器全体の性能を図ったいわゆるマルチチップ半導体装置も提案されている。図25〜図27に、従来のマルチチップ半導体装置の断面図を示す。
【0004】
図25は、例えば、積層の配線基板81上に複数のチップ82を平面配置するタイプのマルチチップ半導体装置を示している。なお、図中、83は半田バンプを示している。また、図26は、表面を向かい合わせ(Face to Face)にしてチップ同士を接続するタイプのマルチチップ半導体装置を示している。また、図27は、複数のチップ82を積層板84を用いて積層配置するタイプのマルチチップ半導体装置を示している。
【0005】
【発明が解決しようとする課題】
しかしながら、これらの従来のマルチチップ半導体装置には、以下のような問題がある。
【0006】
すなわち、図25の従来のマルチチップ半導体装置は、複数のチップ82を平面配置するため、装置の平面面積が大きいという問題がある。
【0007】
また、図26の従来のマルチチップ半導体装置は、複数のチップ82を積層するため、装置の平面面積が大きくなるという問題はないが、積層枚数が2枚に限定されるという問題がある。また、それぞれのチップを電気的にテストすることが困難である。
【0008】
また、図27の従来のマルチチップ半導体装置は、複数のチップ82を積層できるので、装置の平面面積が大きくなる問題や、積層枚数が2枚に限定されるという問題はないが、チップ間に積層板84を設ける必要があるため、構造が複雑になったり、コストや厚さが増大したりする。
【0009】
本発明は、上記事情を考慮してなされたもので、その目的とするところは、装置の平面面積が小さく、構造が単純で、かつ厚さが薄いマルチチップ半導体装置の実現を可能とするマルチチップ半導体装置用チップおよびその形成方法を提供することにある。
【0016】
【課題を解決するための手段】
[構成]
上記目的を達成するために、本発明に係るマルチチップ半導体装置用チップ(請求項)は、表面に素子が集積形成された半導体基板と、この半導体基板表面上に形成された層間絶縁膜と、この層間絶縁膜および前記半導体基板を貫通する貫通孔内に形成され、他のチップと電気的に接続するための金属からなる接続プラグとを具備してなり、前記接続プラグは、前記貫通孔内に設けられ、中空部を有する金属プラグと、この金属プラグと前記貫通孔の側壁との間に設けられた絶縁膜と、前記中空部内に設けられ、前記半導体基板との熱膨脹係数の差が、前記金属プラグよりも小さい低ストレス膜とから構成されていることを特徴とする。
【0018】
また、本発明に係る他のマルチチップ半導体装置用チップ(請求項)は、表面に素子が集積形成された半導体基板と、この半導体基板表面上に形成された層間絶縁膜と、この層間絶縁膜および前記半導体基板を貫通する貫通孔内に形成され、他のチップと電気的に接続するための金属からなる接続プラグとを具備してなるマルチチップ半導体装置用チップにおいて、前記接続プラグが、前記貫通孔の前記半導体基板表面側の途中の深さまで設けられた金属プラグと、この金属プラグと前記貫通孔の側壁との間に設けられた絶縁膜とから構成され、前記貫通孔の未充填部分に、他のチップと電気的に接続するための接続部材が設けられることを特徴とする。
【0019】
ここで、前記接続部材が設けられた側の前記半導体基板の裏面は、前記接続部材の部分を除いて絶縁膜で覆われていることが好ましい。
【0021】
また、本発明に係るマルチチップ半導体装置用チップの形成方法(請求項)は、半導体基板表面に素子を集積形成する工程と、前記半導体基板表面上に層間絶縁膜を形成する工程と、この層間絶縁膜および前記半導体基板をエッチングし、前記層間絶縁膜を貫通し、かつ前記半導体基板を貫通しない孔を形成する工程と、この孔の側壁および底部に、該孔を充填しない厚さの第1の絶縁膜を形成する工程と、前記第1の絶縁膜で被覆された前記孔内に金属プラグとしての金属を充填する工程と、前記孔内の底部の前記第1の絶縁膜が露出するまで、前記半導体基板裏面から、前記半導体基板を後退させる工程と、前記孔の底部の前記第1の絶縁膜より上の、前記孔の側壁の前記第1の絶縁膜が露出するまで、前記孔の底部側の前記半導体基板を選択的にエッチングする工程と、前記孔の底部側の前記半導体基板裏面全面に第2の絶縁膜を形成する工程と、前記孔の底部の前記金属プラグが露出するまで、前記第1および第2の絶縁膜を後退させて、前記孔の底部側の前記半導体基板裏面に、前記第2の絶縁膜を選択的に残置させる工程とを有することを特徴とする。
【0022】
また、本発明に係る他のマルチチップ半導体装置用チップの形成方法(請求項)は、上記マルチチップ半導体装置用チップの形成方法(請求項)において、前記孔の形成を、前記半導体基板上に形成する配線のうち、最も融点の低い配線を形成する前に行なうことを特徴とする。
【0023】
また、本発明に係る他のマルチチップ半導体装置用チップの形成方法(請求項)は、上記マルチチップ半導体装置用チップの形成方法(請求項)において、前記半導体基板の後退を、該半導体基板をウェハから切り出した後に行なうことを特徴とする。
【0028】
また、本発明(請求項1〜)のマルチチップ半導体装置用チップは、半導体基板および層間絶縁膜を貫通する貫通孔内に形成され、他のチップと電気的に接続するための金属からなる接続プラグを有している。
【0029】
したがって、このようなマルチチップ半導体装置用チップを用いたマルチチップ半導体装置は、装置の平面面積が小さく、構造が単純で、かつ厚さが薄いものとなる。
【0030】
また、上記本発明(請求項1〜)において、接続プラグは、チップの放熱を促進するという効果がある。また、チップ裏面から接続プラグに検査プローブをあてることにより装置またはチップの検査を行なうことができる。
【0036】
また、本発明(請求項)によれば、貫通孔を容易に形成できるとともに、孔の底部側の半導体基板の露出面を第2の絶縁膜により容易に被覆できるようになる。
【0037】
また、半導体基板の後退は、本発明(請求項)のように、半導体基板をウェハから切り出した後に行なうことが好ましい。何故なら、ウェハは一般に大きく、機械的強度が弱いので、研磨やエッチングにより均一に後退を行なうのが困難であるからである。
【0038】
【発明の実施の形態】
以下、図面を参照しながら本発明の実施の形態(以下、実施形態という)を説明する。
【0039】
(第1の実施形態)
図1は、本発明の第1の実施形態に係るマルチチップ半導体装置の断面図である。
【0040】
このマルチチップ半導体装置は、3つのチップ11 ,12 ,13 が積層された構成となっている。各チップ11 ,12 ,13 は、それぞれ、大きく分けて、表面に素子が集積形成されたシリコン基板2と、集積形成された素子を所定の関係に接続するための多層配線層3と、この多層配線層3の第1の層間絶縁膜およびシリコン基板1を貫通する貫通孔内に形成され、チップ同士を電気的に接続するための接続プラグ(金属プラグ4、絶縁膜5)とから構成されている。
【0041】
多層配線層3は、素子を覆う第1の層間絶縁膜と、この第1の層間絶縁膜に形成されたコンタクトホール(第1の接続孔)を介して素子に接続する第1の配線層と、第1の層間絶縁膜上に形成され、第1の配線層を覆う第2の層間絶縁膜と、この第2の層間絶縁膜に形成されたヴィアホール(第2の接続孔)を介して第1の配線層と接続する第2の配線層とを有する。なお、3層以上の多層配線層であっても良い。
【0042】
金属プラグ4は素子形成領域の外側に形成されている。また、金属プラグ4とシリコン基板1および第1の層間絶縁膜との間、言い換えれば金属プラグ4と貫通孔との間には絶縁膜5が設けられている。この絶縁膜5と金属プラグ4とで接続プラグが構成されている。
【0043】
また、各チップ11 ,12 ,13 の多層配線層3には、それぞれ、パッド6が設けれている。また、各チップ11 ,12 ,13 のパッド6とは反対側のシリコン基板2の裏面のシリコン領域、言い換えれば接続プラグ(金属プラグ4、絶縁膜5)以外の領域は絶縁膜7で被覆されている。
【0044】
チップ11 の金属プラグ4は、半田バンプ8を介して、チップ12 の多層配線層3に設けられたパッド6に電気的に接続している。これにより、チップ11 はチップ12 と電気的に接続することになる。なお、半田バンプ8以外のバンプを用いても良い。
【0045】
同様に、チップ12 の金属プラグ4は、半田バンプ8を介して、チップ13 の多層配線層3に設けられたパッド6に電気的に接続し、チップ12 はチップ13 と電気的に接続している。このようにしてチップ11 ,12 ,13 間は電気的に接続されることになる。
【0046】
本実施形態によれば、チップ11 ,12 ,13 を積層しているので、複数のチップを平面位置する従来のマルチチップ半導体装置とは異なり、装置の平面面積が増大するという問題はない。
【0047】
また、本実施形態によれば、シリコン基板2および第1の層間絶縁膜を貫通する金属プラグ4により、チップ同士を接続しているので、Face to Faceによりチップ同士を接続する従来のマルチチップ半導体装置とは異なり、チップの積層枚数が2枚に限定されるという問題はない。
【0048】
さらに、チップ同士の接続に積層板を用いていないので、積層板によりチップ同士を接続する従来のマルチチップ半導体装置とは異なり、構造が複雑になったり、厚みが増大するという問題はない。
【0049】
さらにまた、金属プラグ4には、放熱を促進する効果がある。
【0050】
したがって、本実施形態によれば、装置の平面面積が小さく、構造が単純で、厚さが薄く、かつ放熱性に優れたマルチチップ半導体装置を実現できるようになる。
【0051】
なお、実施形態では、チップ数が3の場合について説明したが、本実施形態のチップ構造であれば、4個以上のチップも同様にして接続できる。また、金属プラグ4を有するチップの全てが必ずしも金属プラグ4を介して接続する必要はない。すなわち、放熱性の改善の目的のみで金属プラグ4を形成したチップがあっても良い。
【0052】
(第2の実施形態)
図2は、本発明の第2の実施形態に係るマルチチップ半導体装置の断面図である。なお、図1のマルチチップ半導体装置と対応する部分には図1と同一符号を付してあり、詳細な説明は省略する。
【0053】
本実施形態は、真中のチップ12 だけが接続プラグ(金属プラグ4、絶縁膜5)を有する例である。
【0054】
チップ1 の多層配線層3に設けられたパッド6は、半田バンプ8を介して、チップ12 の多層配線層3に設けられたパッド6に電気的に接続している。これにより、チップ1 はチップ12 と電気的に接続することになる。また、チップ12 の金属プラグ4は、半田バンプ8を介して、チップ13 の多層配線層3に設けられたパッド6に電気的に接続し、チップ12 はチップ13 と電気的に接続している。このようにしてチップ11 ,12 ,13 間は電気的に接続されることになる。
【0055】
本実施形態でも第1の実施形態と同様な効果が得られる。ただし、真中のチップ12 だけしか接続プラグ(金属プラグ4、絶縁膜5)を有していないので、4個以上のチップを積層することはできない。しかし、接続プラグは1個で済むので、コスト的に有利である。
【0056】
(第3の実施形態)
図3は、本発明の第3の実施形態に係るマルチチップ半導体装置の断面図である。なお、図1のマルチチップ半導体装置と対応する部分には図1と同一符号を付してあり、詳細な説明は省略する。
【0057】
本実施形態は、2つのチップ11 ,12 がセラミック製の積層配線基板9を介して接続する例である。
【0058】
チップ1 の多層配線層3に設けられたパッド6は、半田バンプ8を介して、積層配線基板9に設けられたパッド6に電気的に接続している。このパッド6に電気的に接続している積層配線基板9に設けられた他のパッド6は、チップ12 の多層配線層3に設けられたパッド6に電気的に接続している。これにより、チップ1 はチップ12 と電気的に接続することになる。
【0059】
本実施形態でも第1の実施形態と同様な効果が得られる。さらに、本実施形態によれば、チップ12 の多層配線層3に設けられたパッド6に検査プローブをあてて装置の検査を行なうことができる。
【0060】
これに対して、図2に示すように、金属プラグ4を有するチップ12 がチップ間にある構成だと、検査プローブをあてることができないので、このような検査は行なうことができない。
【0061】
(第4の実施形態)
図4、図5は、本発明の第4の実施形態に係るマルチチップ半導体装置用チップの形成方法を示す工程断面図である。
【0062】
まず、図4(a)に示すように、シリコン基板10を用意する。このシリコン基板10は素子形成後のものであり、その表面は第1の層間絶縁膜11で覆われている。この第1の層間絶縁膜11の材料には、窒化シリコンのようにSiO2 とエッチング選択比が取れるものが選ばれている。
【0063】
次に図4(b)に示すように、SiO2 からなる厚さ1μmのマスクパターン12を第1の層間絶縁膜11上に形成した後、マスクパターン12をマスクにして、エッチングガスがF系ガスのRIE法にて、第1の層間絶縁膜11およびシリコン基板10をエッチングすることにより、第1の層間絶縁膜11を貫通し、かつシリコン基板10を貫通しない孔13を形成する。この後、孔13の形成の際に生じたシリコン基板10の欠陥を回復するためのアニールを行なうことが好ましい。
【0064】
シリコン基板10における孔の深さは100μmである。これに第1の層間絶縁膜11の厚さを加えたものが孔13の全体の深さとなる。孔13は最終的には貫通孔となる。
【0065】
なお、シリコン基板10をRIE法にてエッチングして孔を形成し、次に第1の層間絶縁膜11を形成し、次に第1の層間絶縁膜11、または第1の層間絶縁膜11およびシリコン基板10をRIE法にてエッチングして、孔13を形成することも可能である。
【0066】
この場合、最初のエッチングの際に用いるマスクパターンとしては、SiO2 やAlやAl2 3 などの材料からなるものが使用できる。
【0067】
また、孔13(貫通孔)を形成する加工技術はRIEに限定されるものではなく、光エッチング、ウエットエッチング、超音波加工、放電加工を用いることもできる。さらに、上記加工技術を適宜組み合わせても良い。なお、RIEまたは光エッチングと、ウエットエッチングとを組み合わせた方法については後で説明する。
【0068】
次に図4(c)に示すように、全面に厚さ100nmのSiO2 膜、厚さ100nmのSi3 4 膜をLPCVD法を用いて順次堆積して、SiO2 /Si3 4 の積層絶縁膜14(第1の絶縁膜)を形成する。なお、積層絶縁膜14の代わりに、単層の絶縁膜を用いても良い。
【0069】
次に図4(d)に示すように、金属プラグとなる金属膜15を孔13から溢れる厚さに全面に形成して、孔13を金属膜15で埋め込む。
【0070】
ここで、金属膜15としては、例えばW膜、Mo膜、Ni膜、Ti膜、これらの金属シリサイド膜があげられる。また、金属膜15の形成方法としては、例えばCVD法、スパッタ法またはメッキ法があげられる。
【0071】
次に図5(e)に示すように、CMP法やエッチバック法等の方法を用いて、第1の層間絶縁膜11の表面が露出するまで、金属膜15、積層絶縁膜14を後退させる。
【0072】
この結果、孔13に金属膜(金属プラグ)15が埋め込まれた構造が形成される。このような構造は他の形成方法でも形成できる。その形成方法は後で説明する(図14、図15)。
【0073】
次に図5(f)に示すように、シリコン基板10上に第1の層間絶縁膜11とともに多層配線層を構成する多層配線構造16を形成する。多層配線構造16は金属配線(配線層)、層間絶縁膜、プラグなどから構成される。この後、多層配線構造16の表面に溝を形成した後、この溝にパッド17を形成する。
【0074】
図6、図7に、それぞれ、孔13の領域の多層配線層、素子領域の多層配線層の具体的な構造の一例を示す。
【0075】
素子領域にはMOSトランジスタが形成されている。また、図において、11aは第2の層間絶縁膜、11bは第3の層間絶縁膜、11cは第4の層間絶縁膜、11nはn番目の層間絶縁膜、19aおよび20aは第1の金属配線、19bおよび20bは第2の金属配線、20cは第3の金属配線を示している。
【0076】
次に図5(g)に示すように、孔13の底部の絶縁膜14が露出するまで、孔13が形成された表面と反対側のシリコン基板裏面から、シリコン基板10を後退させる。
【0077】
ここで、シリコン基板10の後退(薄化)は、例えば、CMP、化学研磨、機械研磨、ウエットエッチング、プラズマエッチングまたはガスエッチングの加工技術を用いた方法、またはこれら加工技術を組み合わせた方法により行なう。これらの中ではCMPが最も代表的な方法であり、また好ましい。
【0078】
この図5(g)の工程は、シリコン基板10と絶縁膜14の間で選択比が取れる条件で行なうことが好ましい。このような条件で行なえば、絶縁膜14のところで自動的に同工程を終了することが可能となる。
【0079】
次に図5(h)に示すように、孔13の底部の絶縁膜14より上の、孔13の側壁の絶縁膜14が露出するまで、孔13の底部側のシリコン基板10の裏面を選択的にエッチングする。このエッチングには、例えば、CDE、RIE等のドライエッチングまたはウエットエッチングを用いる。なお、エッチングの代りにCMPを用いても良い。
【0080】
この後、上記エッチングまたはCMPにより生じたダメージ層を例えばウエットエッチングにより除去する。なお、この除去工程は、ダメージ層が生じない場合には不要である。ダメージ層を除去する理由は、ダメージ層は次のSiO2 膜18の形成工程に影響を与えるからである。
【0081】
次に同図(h)に示すように、プラズマCVD法を用いて、孔13の底部側ののシリコン基板10の裏面全面にSiO2 膜18(第2の絶縁膜)を形成する。なお、低温プロセスが要求される場合には、SiO2 膜18の代わりに、SOG膜等の塗布膜を用いると良い。また、シリコン基板10が受ける応力を小さくしたい場合には、SiO2 膜18の代わりに、ポリイミド膜等の有機膜を用いると良い。
【0082】
次に図5(i)に示すように、金属プラグ15を露出するまで、CMP法を用いてSiO2 膜18、積層絶縁膜14を研磨する。
【0083】
この結果、貫通孔(孔13)内に絶縁膜14と金属プラグ15からなる接続プラグが埋め込まれ、かつシリコン基板10の裏面のシリコン領域がSiO2 膜18で被覆された構造が完成する。
【0084】
以上述べたように、本実施形態では、シリコン基板10の表面に該シリコン基板10を貫通しない孔13を形成した後、裏面からシリコン基板10等を研磨することにより、貫通孔(孔13)内が接続プラグ(絶縁膜14、金属プラグ15)で埋め込まれた構造を形成している。
【0085】
したがって、本実施形態によれば、もとのシリコン基板1が厚くても(通常は厚い)、深い貫通孔を形成する必要がないので、貫通孔(孔13)が接続プラグ(絶縁膜14、金属プラグ15)で埋め込まれた構造を容易に形成できるようになる。
【0086】
また、本実施形態の方法は、厚いシリコン基板の裏面からエッチングして深い貫通孔を形成する方法の場合とは異なり、表/裏のパターンの位置合わせが必要なフォトリソグラフィが不要となるので、接続プラグの形成プロセスは簡単で工程数も少なくて済む。
【0087】
なお、裏面のシリコン領域をSiO2 膜18で覆う必要がない場合には、図5(g)の工程で、金属プラグ15が露出するまで、シリコン基板10および積層絶縁膜14を研磨することで、貫通孔(孔13)が接続プラグ(絶縁膜14、金属プラグ15)で埋め込まれた構造が完成する。
【0088】
また、シリコン基板10の研磨(後退)は、シリコン基板10をウェハから切り出した後に行なうことが好ましい。何故なら、ウェハは一般に大きく、機械的強度が弱いので、均一に研磨(後退)を行なうのが困難であるからである。
【0089】
また、金属配線の形成前に孔13を形成し、その内部に金属膜を埋め込んで金属プラグ15を形成しているので、金属配線は金属プラグ15を形成する際の熱工程の影響を受けずに済む。さらに、金属配線は孔13をRIEにより形成した後に行なう欠陥回復のためのアニールの影響も受けずに済む。
【0090】
これにより、例えば金属配線としてAl配線(Alの融点は660℃)を用いた場合に、金属プラグ15を抵抗の低いAu等の導電ペースト(焼結温度は600℃程度)で形成することが可能となる。
【0091】
また、素子形成後に金属プラグ15を形成しているので、金属プラグ15の構成金属の拡散による素子特性の劣化を防止できる。
【0092】
逆に、金属プラグ15を形成した後に素子を形成すると、素子を形成するために必要な高温の熱工程で、金属プラグ15の構成金属が素子領域にまで拡散し、素子特性が劣化するという問題が生じる。
【0093】
図8に、種々の構造の接続プラグの断面図を示す。これは図5(f)の工程に相当する断面図である。なお、図において、19は金属配線を示している。
【0094】
図8(a)は、本実施形態の接続プラグを示している。
【0095】
図8(b)は、低ストレス膜18を有する接続プラグを示している。
【0096】
すなわち、この接続プラグでは、貫通孔内に未充填部分ができるように金属プラグ15が形成され、そして未充填部分に半導体基板10aとの熱膨脹係数の差が金属プラグ15よりも小さい低ストレス膜18が形成され、貫通孔が充填されている。
【0097】
低ストレス膜18は、絶縁膜、半導体膜、金属膜のいずれでも良い。具体的には、導電ペースト膜、FOX膜、SOG膜、HDP(High Density Plasma )−CVD法で形成したSiO2 膜などがあげられる。
【0098】
このような接続プラグを用いることにより、接続プラグの形成部分に大きなストレスがかかり、シリコン基板10中に欠陥が発生することによる素子特性の劣化を防止することができる。
【0099】
図8(c)は、キャップ金属膜45を有する接続プラグを示している。
【0100】
すなわち、金属プラグ15は、貫通孔の途中の深さまでしか形成されておらず、この金属プラグ15の上面には、貫通孔を充填するキャップ金属膜45が形成されている。また、図8(d)は、キャップ金属膜45の代わりに、キャップ絶縁膜46を用いた接続プラグを示している。
【0101】
このようなキャップ金属膜45、キャップ絶縁膜46により、金属プラグ15の表面を平坦にでき、これにより金属プラグ15上に微細な金属配線19を容易に形成することができるようになる。
【0102】
また、低温で形成できるキャップ絶縁膜46を用いることにより、後工程で金属プラグ15の表面が酸化されるなどの不都合を防止することができる。
【0103】
図9は、孔13の他の形成方法を示す工程断面図である。これは、RIEまたは光エッチングと、ウエットエッチングとを組み合わせた形成方法である。
【0104】
まず、図9(a)に示すように、主面が{100}のシリコン基板10上に第1の層間絶縁膜11を形成する。次に同図(a)に示すように、第1の層間絶縁膜11上にマスクパターン12を形成した後、このマスクパターン12をマスクにして第1の層間絶縁膜11およびシリコン基板10をエッチングして、断面形状が長方形の孔131 を形成する。
【0105】
ここで、エッチングとしては、RIE、または光エッチング(光化学エッチング、光溶発(光アブレーション)エッチング)を用いる。特に光エッチングは、高速エッチング、低ダメージという利点を有するので、深い孔131 を形成するのに適している。光化学エッチングの場合には、例えば、エッチングガスとしてCl2 ガス、励起光として紫外線を用いる。
【0106】
次に図9(b)に示すように、マスクパターン12をマスクにしてシリコン基板10をウエットエッチングして、{111}面を露出させる。この結果、断面形状が三角形の孔132 が形成される。エッチング液としては、例えば、温度が60〜90℃のKOH溶液を用いる。
【0107】
次に同図(b)に示すように、孔132 内に、例えば、Ni、Ti、Zr、Hf、V等の金属21を配置する。具体的には、金属21を孔132 の底の部分に配置する。
【0108】
次に図9(c)に示すように、熱処理により、金属21とシリコン基板10とを反応させて、孔132 の下部のシリコン基板10に金属シリサイド膜22を形成する。
【0109】
次に図9(d)に示すように、金属シリサイド膜22を選択的にエッチング除去して、より深い孔133 を形成する。最後に、絶縁膜形成および金属埋め込みを行なった後、基板裏面を研磨することにより、深い貫通孔が得られる。
【0110】
このように孔を段階的に深くすることにより、深い孔を容易に形成できるようになり、これにより貫通孔を容易に形成できるようになる。
【0111】
図10に、金属プラグの他の形成方法を示す。
【0112】
図10(a)は、全面に導電ペースト23を塗布した後、熱処理により導電ペースト23を流動化させて、孔内に導電ペースト23を埋め込むという方法を示している。孔外の余剰な導電ペースト23は、例えばCMP法を用いて除去する。
【0113】
図10(b)は、全面に金属微粒子24を堆積して、孔内を微粒子24で埋め込んだ後、孔外の余剰な金属微粒子24をCMP法等を用いて除去するという方法を示している。
【0114】
なお、金属微粒子29の代わりに、金属粒が分散された溶剤(懸濁液)を用いても良い。
【0115】
図10(c)は、全面にシリコン膜25を堆積し、次にシリコン膜25上にTi膜等の高融点金属膜(不図示)を堆積した後、熱処理により高融点金属膜とシリコン膜25とを反応させて、金属シリサイド膜26を形成するという方法を示している。孔外の余剰な金属シリサイド膜26は、例えばCMP法等を用いて除去する。
【0116】
シリコン膜は絶縁膜上にコンフォーマルに堆積する。また、シリコン膜と金属膜の密着性は高い。したがって、図10(c)の方法の場合、孔が深くても、孔内の積層絶縁膜14の全面はシリコン膜25で覆われるので、孔内の積層絶縁膜14の全面を覆う金属シリサイド膜31が形成される。なお、孔内に空胴部が残った場合には、例えば、低ストレス膜で埋めると良い。
【0117】
図11に、金属プラグのさらに別の形成方法を示す。
【0118】
まず、図11(a)に示すように、孔13の側壁および底部の全面を被覆し、空胴部を有するシリコン膜27を形成する。この後、同図(a)に示すように、孔13内に直径10μm程度のNi粒28(金属ボール)を配置する。
【0119】
次に図11(b)に示すように、熱処理によりシリコン膜27とNi粒28とを反応させ、孔13内にニッケルシリサイド膜29を形成する。孔13内には十分な量のシリコン膜27およびNi粒28がないので、ニッケルシリサイド膜29の上部には空胴部が残る。
【0120】
最後に、図11(c)に示すように、全面にキャップ膜30となる絶縁膜または金属膜を堆積した後、この絶縁膜または金属膜を研磨して、ニッケルシリサイド膜30の上部の空胴部をキャップ膜35で埋める。
【0121】
なお、金属プラグを形成する方法はこれまでに述べた方法(CVD法、スパッタ法、メッキ法、導電ペーストを用いた方法、金属微粒子を用いた方法、金属ボールを用いた方法、懸濁液を用いた方法)に限定されるものではなく、これらの方法を適宜組み合わせた方法など種々の方法が可能である。
【0122】
図12に、接続プラグの他の形成方法を示す。この方法がこれまでの方法と異なる点は、シリコン基板11の裏面を研磨して貫通孔を形成した後、金属プラグ15を形成することにある。
【0123】
まず、図12(a)に示すように、表面に素子が形成されたシリコン基板10上にAlからなるマスクパターン12aを形成した後、このマスクパターン12aをマスクにして、第1の層間絶縁膜11およびシリコン基板10をエッチングし、孔13を形成する。この後、マスクパターン12aを除去する。
【0124】
次に図12(b)に示すように、全面にSOG膜31を形成した後、孔13が完全に埋め込まれるように全面にFOX膜32を形成する。
【0125】
次に図12(c)に示すように、孔13外のSOG膜31およびFOX膜32を例えばCMP法またはエッチバック法を用いて除去する。
【0126】
この後、図5(e)〜図5(i)に示した工程を行なう。
【0127】
次に図12(d)に示すように、孔13内のFOX膜32を例えばCDE法を用いて除去した後、図4(d)、図5(e)の工程と同様に、孔13内に金属膜からなる金属プラグ15を埋込み形成する。
【0128】
なお、図13に示すような接続構造の場合には、金属プラグ15の形成後にパッド33、Auボールなどの金属ボール34を形成する。
【0129】
図14および図15に、接続プラグのさらに別の形成方法を示す。この方法がこれまでの方法と異なる点は、シリコン基板10とは別のところであらかじめ形成された金属プラグ15を孔13内に埋め込むことにある。
【0130】
最初に、金属プラグ15の形成方法について説明する。
【0131】
まず、図14(a)に示すように、SiO2 からなる基板35の表面に溝36を形成する。
【0132】
次に同図(a)に示すように、溝36内に金属ボール37を埋め込む。
【0133】
最後に、図14(b)に示すように、熱処理により金属ボール37を溶融することにより、溝36内に金属膜からなる金属プラグ15を形成する。
【0134】
次にこのようにあらかじめ形成された金属プラグ15を利用して、接続プラグを形成する方法について説明する。
【0135】
まず、図14(c)に示すように、粘着フィルム38に金属プラグ15を接着させる。
【0136】
次に図15(d)に示すように、粘着フィルム38に接着された金属プラグ15を溝36から取り出す。
【0137】
次に図15(e)に示すように、図4(c)の工程の段階のシリコン基板10の孔13内に、粘着フィルム38に接着された金属プラグ15を埋め込む。この後、粘着フィルム38を除去する。
【0138】
次に図15(f)に示すように、熱処理により金属プラグ15を溶融させることにより、金属プラグ15を孔13内に固定する。
【0139】
このような基板15にあらかじめ形成された金属プラグ15を利用する方法の場合、スパッタ法やCVD法などの成膜法を用いて、シリコン基板10上に金属プラグ4となる金属膜を形成する方法の場合に比べて、スループットが高くなり、またプロセス温度も低くて済む。
【0140】
なお、ここでは基板35の材料としてSiO2 を選んだが、金属ボール37と反応しない材料であれば他の材料を用いても良い。
【0141】
なお、金属ボール37の代わりに、AuまたはPd等の低抵抗の導電ペーストを用いても良い。この場合、スクリーン印刷法を用いて溝36内に導電性ペーストを埋め込んだ後、導電ペーストを焼結して金属プラグ15を形成する。
【0142】
ここで、AuまたはPd等の導電ペーストは焼結温度の高いものであるが、導電ペーストの焼結は、シリコン基板10とは別のとろである基板35で行なうので問題はない。また、導電ペーストは通常のものとは異なり、樹脂やガラスなどを含んでいる必要はない。
【0143】
また、粘着フィルム38を用いて金属プラグ15を溝36から取り出したが、ピンセット等の他の手段により取り出しても良い。
【0144】
また、孔13内にあらかじめ接着層を形成することにより、金属プラグ15を孔13内に固定しても良い。具体的には、例えばSOGまたはFOXなどを孔13内に塗布して接着層を形成した後、孔13内に金属プラグ15を埋め込む。その後、接着層を硬化させる。
【0145】
(第5の実施形態)
図16は、本発明の第5の実施形態に係るマルチチップ半導体装置用チップの形成方法を示す断面図である。なお、図4、図5のマルチチップ半導体装置用チップと対応する部分には図4、図5と同一符号を付してあり、詳細な説明は省略する。
【0146】
本実施形態では、第4の実施形態の図5(i)の工程の後、図16(a)に示すように、シリコン基板10の裏面から、金属プラグ15をエッチングして、貫通孔に未充填部分を形成する。
【0147】
次に図16(b)に示すように、金属プラグ15(貫通孔の未充填部分の凹部)と半田バンプ8とを位置合せした後、金属プラグ15と半田バンプ8とを接続する。
【0148】
ここで、金属プラグ15と半田バンプ8との位置合せは、画像処理により行なうことが好ましい。何故なら、画面上で、未充填部分の凹部とそうでないところで濃淡の差が明確になるので、正確な位置合せを容易に行なえるからである。
【0149】
また、バンプ8の側面が貫通孔の側面と接触することにより、未充填部分の凹部がない場合に比べて、バンプ8はより強固に固定されることになる。
【0150】
なお、逆に金属プラグ15が貫通孔から突出する凸構造にしても良い。この場合、バンプ8はシリコン基板10とは接しないので、バンプ8によるシリコン基板10の汚染を効果的に防止することができる。
【0151】
(第6の実施形態)
図17は、本発明の第6の実施形態に係るマルチチップ半導体装置の断面図である。なお、図1のマルチチップ半導体装置と対応する部分には図1と同一符号を付してある。また、チップ11 ,12 において、多層配線層3や絶縁膜5,7やパッド6などは省略してある。
【0152】
本実施形態の特徴は、チップ11 上に放熱フィン39を設けたことにある。この放熱フィン39は接着剤40によりチップ11 に固定されている。なお、絶縁膜上にメタライズすることにより固定するなど他の固定方法を用いても良い。
【0153】
本実施形態によれば、金属プラグ4および放熱ファン39によって装置の放熱性を十分に高くすることができるようになる。
【0154】
(第7の実施形態)
図18は、本発明の第7の実施形態に係るマルチチップ半導体装置の断面図である。なお、図1のマルチチップ半導体装置と対応する部分には図1と同一符号を付してある。図中、7aは絶縁膜、42はソルダーを示している。
【0155】
本実施形態の特徴は、チップ11 とチップ12 との間に放熱用のダミーバンプ8dを設けたことにある。
【0156】
チップ11 とチップ12 とはダミーバンプ8dを介して機械的には接続するが電気的には接続しない。ダミーバンプ8dは、例えば図示しない金属膜を介してチップ11 およびチップ12 と接続させる。
【0157】
ダミーバンプ8dの材料としては、例えばAu等の金属があげられる。金属でなくても、熱伝導の良い材料であれば、半導体や絶縁体を用いても良い。また、充填剤でも良い。また、ダミーバンプ8dと配線用バンプ8とを同じ材料で形成すれば、これらのバンプを同時に形成でき、工程数の増加を防止することができる。
【0158】
なお、ダミーバンプ8dだけでも放熱性は改善されるが、放熱性を効果的に高めるためには、ダミーバンプ8dを放熱フィンに繋げる構成にすることが好ましい。
【0159】
(第8の実施形態)
図19は、本発明の第8の実施形態に係るマルチチップ半導体装置の製造方法を示す図である。
【0160】
図16に示した方法では、金属プラグ15に半田バンプ8を形成したが、本実施形態では、逆に、接続先の部材47(例えば金属プラグを有するチップ、金属プラグを有しないチップまたは積層配線基板)に半田バンプ8を形成し、この半田バンプ8と、シリコン基板2の裏面から突出した金属プラグ4を接続する。
【0161】
この場合も、バンプ8はシリコン基板10とは接しないので、バンプ8によるシリコン基板10の汚染を効果的に防止することができる。
【0162】
(第9の実施形態)
図20は、本発明の第9の実施形態に係るマルチチップ半導体装置を示す模式図である。
【0163】
なお、図1のマルチチップ半導体装置と対応する部分には図1と同一符号を付してある。また、チップ11 ,12 ,13 において、多層配線層3や絶縁膜5,7やパッド6などは省略してある。また、チップ13 は金属プラグ4が有っても無くても良い。
【0164】
本実施形態は、実装部材としてTABテープを用いた例である。図中、43はプラスチックテープ、44はリード端子を示している。なお、図21に、TABテープを用いた従来のマルチチップ半導体装置の模式図を示す。図から、本実施形態に比べて平面面積が大きいことが分かる。
【0165】
本実施形態によれば、チップ同士を積層でき、平面面積を小さくできるという効果の他に、金属プラグ4を用いて全てのチップ、一部のチップまたは各チップの検査を行なうことができる。
【0166】
装置全体の検査であれば、図20に示した状態で、チップ11 の多層配線層に設けられたパッド(不図示)に検査プローブをあてて行なう。また、チップ11 ,12 の検査であれば、チップ11 ,12 を接続した後、チップ12 の多層配線層に設けられたパッド(不図示)に検査プローブをあてて行なう。
【0167】
(第10の実施形態)
図22〜図24は、本発明の第10の実施形態に係るマルチチップ半導体装置の製造方法を示す図である。
【0168】
まず、周知の方法に従って、図22(a)に示すように、シリコン基板50にNAND型EEPROMのメモリセルおよび図示しない周辺素子を形成した後、第1の層間絶縁膜56を形成する。
【0169】
なお、図中、51はトンネル酸化膜、52F は浮遊ゲート電極、53はゲート電極間絶縁膜、52C は制御ゲート電極、54はソース拡散層、55はドレイン拡散層を示している。また、実際には複数のメモリセルを形成するが、図には簡単なために1個のメモリセルしか示していない。
【0170】
次に同図(a)に示すように、第1の層間絶縁膜56にコンタクトホールを形成した後、Ti・TiN積層膜57、Wビット線プラグ58を形成する。
【0171】
具体的には、まず、コンタクトホールを形成し、次にTi膜、TiN膜を順次全面に形成した後、ブランケットCVD法を用いてW膜を全面に形成する。最後に、CMP法を用いてコンタクトホール外のW膜、Ti膜およびTiN膜を除去する。
【0172】
次に図22(b)に示すように、第1の層間絶縁膜56上に例えばAlからなるマスクパターン59を形成し、このマスクパターン59をマスクにして、接続プラグが形成される領域の第1の層間絶縁膜56およびシリコン基板50をエッチングすることにより、深さが150〜200μmで、100μm×100μm角の孔60を形成する。この後、マスクパターン59を除去する。
【0173】
次に図22(c)に示すように、孔60内を覆うSiO2 膜61を形成し、その上に密着膜としての厚さ500nmの多結晶シリコン膜62を形成した後、孔60内に金属プラグとしてのNi膜63を埋め込む。
【0174】
具体的には、厚さ500nmのSiO2 膜61、厚さ500nmの多結晶シリコン膜62、Ni膜63を全面に順次形成した後、CMP法を用いて孔60外の余剰なSiO2 膜61、多結晶シリコン膜62、Ni膜63を除去する。
【0175】
また、Ni膜63は、例えばスクリーン印刷法を用いて孔60内にNiペーストを埋め込んだ後、600℃の熱処理によりNiペーストを焼結することにより形成する。
【0176】
次に図23(d)に示すように、周知の方法に従って、ビット線64、第1の配線層65を形成する。
【0177】
具体的には、例えばビット線64、第1の配線層65となる厚さ10nmのTi膜、厚さ10nmのTiN膜、厚さ400nmのAlCu膜、厚さ40nmのTiN膜の積層膜を形成した後、この積層膜をフォトリソグラフィとエッチングを用いて加工することにより形成する。
【0178】
次に同図(d)に示すように、第2の層間絶縁膜66を形成し、この第2の層間絶縁膜66にヴィアホールを形成した後、プラグ67を介して第1の配線層65と接続する第2の配線層68を形成する。
【0179】
第2の配線層68の形成方法は第1の配線層65のそれと同じである。また、プラグ67としては、例えばW膜を用いる。なお、メモリセルの領域の第2の配線層は省略してある。
【0180】
次に同図(d)に示すように、第2の配線層68を覆うパッシベーション膜としての厚さ450nmの感光性のポリイミド膜69をプラズマCVD法を用いて形成した後、フォトリソグラフィとエッチングを用いて第2の配線層68上に開孔(パッド孔)を形成する。この後、パッド(不図示)にプローブをあてて、ウェハに形成された各チップについてその良品、不良品の判別を行なうことが望ましい。
【0181】
次に図23(e)に示すように、シリコン基板50の裏面を機械的に研磨してNi膜63を露出させる。
【0182】
この研磨工程は、シリコン基板50をウェハから切り出した後に行なうことが好ましい。その理由は先に述べたように、ウェハの状態では均一な研磨が困難であるからである。この後、研磨で生じたダメージをウエットエッチングにより除去する。なお、ウェハの表面に浅いスクライブラインを予め入れておき、裏面の研磨によってウェハが薄くなったときに、チップ分割が自動的に行なわれるようにすることが好ましい。
【0183】
次に図23(f)に示すように、第2の配線層68上にAuボールバンプ70を形成した後、転写法を用いてAuボールバンプ70上に半田71を形成する。このとき、プローブ測定により良品のチップが予め分かっている場合には、その良品のチップのみにAuボールバンプ70を形成することで、歩留まりや生産効率の向上を図ることができる。
【0184】
最後に、図24に示すように、半田71(Auボールバンプ70)とNi膜(金属プラグ)63との位置合わせを行なった後、半田71とNi膜(金属プラグ)63とを接続し、シリコン基板50同士を接続することにより、EEPROMのマルチチップ半導体装置が完成する。その後、電気特性評価を行ない、積層したチップに不良がある場合には、ハンダ71をメルト温度まで加熱することで、チップ同士の接続を切断し、不良チップを良品チップと交換する。
【0185】
なお、本実施形態では、NAND型EEPROMのマルチチップ半導体装置について説明したが、本実施形態と同様な方法により、NOR型EEPROMのマルチチップ半導体装置、DRAMのマルチチップ半導体装置も製造することができる。さらには、EEPROM、DRAMもしくはその他の半導体メモリまたはこれらの組み合わせと、CPUとから構成されたパーソナルコンピュータ等の情報処理装置のマルチチップ半導体装置も製造することができる。
【0186】
【発明の効果】
以上詳述したように本発明(請求項1,2)によれば、少なくとも1つのチップがその半導体基板および層間絶縁膜を貫通する貫通孔内に金属からなる接続プラグが形成された構造を有し、かつこの接続プラグを有するチップが該接続プラグを介して他のチップと電気的に接続されているので、装置の平面面積が小さく、構造が単純で、かつ厚さが薄いマルチチップ半導体装置を実現できるようになる。
【0187】
また、本発明(請求項3〜7)では、マルチチップ半導体装置用チップとして、素子が形成された半導体基板と、この半導体基板およびその上に形成された層間絶縁膜を貫通する貫通孔内に形成され、他のチップと電気的に接続するための金属からなる接続プラグとからなる構成のもの用いている。
【0188】
したがって、このような構成のマルチチップ半導体装置用チップを用いることにより、本発明(請求項1,2)に係るマルチチップ半導体装置を実現できるようになる。
【0189】
また、本発明(請求項8〜請求項12)では、層間絶縁膜は貫通するが半導体基板は貫通しない孔を形成した後、裏面から半導体基板を後退させて貫通孔を形成しているので、もとの半導体基板が厚くても貫通孔を容易に形成できる。
【0190】
したがって、半導体基板が厚くても、本発明(請求項3〜7)に係るマルチチップ半導体装置用チップを容易に形成できるようになる。
【図面の簡単な説明】
【図1】本発明の第1の実施形態に係るマルチチップ半導体装置の断面図
【図2】本発明の第2の実施形態に係るマルチチップ半導体装置の断面図
【図3】本発明の第3の実施形態に係るマルチチップ半導体装置の断面図
【図4】本発明の第4の実施形態に係るマルチチップ半導体装置用チップの形成方法を示す前半の工程断面図
【図5】本発明の第4の実施形態に係るマルチチップ半導体装置用チップの形成方法を示す後半の工程断面図
【図6】孔領域の多層配線層の具体的な構造例を示す断面図
【図7】素子領域の多層配線層の具体的な構造例を示す断面図
【図8】貫通プラグを示す断面図
【図9】孔の他の形成方法を示す工程断面図
【図10】金属プラグの他の形成方法を示す断面図
【図11】金属プラグのさらに別の形成方法を示す断面図
【図12】接続プラグの他の形成方法を示す工程断面図
【図13】マルチチップの他の接続構造を示す断面図
【図14】接続プラグのさらに別の方法を示す前半の工程断面図
【図15】接続プラグのさらに別の方法を示す後半の工程断面図
【図16】本発明の第5の実施形態に係るマルチチップ半導体装置用チップの形成方法を示す断面図
【図17】本発明の第6の実施形態に係るマルチチップ半導体装置の断面図
【図18】本発明の第7の実施形態に係るマルチチップ半導体装置の断面図
【図19】本発明の第8の実施形態に係るマルチチップ半導体装置の製造方法を示す図
【図20】本発明の第9の実施形態に係るマルチチップ半導体装置を示す模式図
【図21】TABテープを用いた従来のマルチチップ半導体装置を示す模式図
【図22】本発明の第10の実施形態に係るマルチチップ半導体装置の製造方法を示す前半の工程断面図
【図23】本発明の第10の実施形態に係るマルチチップ半導体装置の製造方法を示す後半の工程断面図
【図24】本発明の第10の実施形態に係るマルチチップ半導体装置の製造方法を示す断面図
【図25】従来のマルチチップ半導体装置の断面図
【図26】従来の他のマルチチップ半導体装置の断面図
【図27】従来のさらに別のマルチチップ半導体装置の断面図
【符号の説明】
1 ,12 ,13 …チップ
2…シリコン基板
3…多層配線層
4…金属膜(金属プラグ)
5…絶縁膜
6…パッド
7…絶縁膜
7a…絶縁膜
8…半田バンプ(接続部材)
8d…ダミーバンプ
9…積層配線基板(実装部材)
10…シリコン基板
11…第1の層間絶縁膜
11a…第2の層間絶縁膜
11b…第3の層間絶縁膜
11c…第4の層間絶縁膜
11n…第nの層間絶縁膜
12…マスクパターン
12a…マスクパターン
13,131 〜133 …孔(貫通孔)
14…積層絶縁膜(第1の絶縁膜)
15…金属膜(金属プラグ)
16…多層配線構造
17…パッド
18…SiO2 膜(第2の絶縁膜)
19…金属配線
19a…第1の金属配線
19b…第2の金属配線
20a…第1の金属配線
20b…第2の金属配線
20c…第3の金属配線
21…金属
22…金属シリサイド膜
23…導電ペースト
24…金属粒子
25…シリコン膜
26…金属シリサイド膜
27…シリコン膜
28…Ni粒
29…ニッケルシリサイド膜
30…キャップ膜
31…SOG膜
32…FOX膜
33…パッド
34…金属ボール
35…基板
36…溝
37…金属ボール
38…接着フィルム
39…放熱フィン
40…接着剤
41…絶縁膜
42…ソルダー
43…プラスチックテープ
44…リード端子
45…キャップ金属膜
46…キャップ絶縁膜
47…接続先の部材
50…シリコン基板
51…トンネル酸化膜
52F …浮遊ゲート電極
53C …制御ゲート電極
53…ゲート電極間絶縁膜
54…ソース拡散層
55…ドレイン拡散層
56…第1の層間絶縁膜
57…Ti・TiN積層膜
58…Wビット線プラグ
59…マスクパターン
60…孔
61…SiO2
62…多結晶シリコン膜
63…Ni膜
64…ビット線
65…第1の配線層
66…第2の層間絶縁膜
67…プラグ
68…第2の配線層
69…ポリイミド膜
70…Auボールバンプ
[0001]
BACKGROUND OF THE INVENTION
  The present inventionMultichip semiconductor layer chip and method for forming the sameAbout.
[0002]
[Prior art]
In recent years, large-scale integrated circuits (chips) formed by integrating a large number of transistors, resistors, and the like so as to achieve an electric circuit and integrating them on a semiconductor substrate are frequently used in important parts of computers and communication devices. ing. For this reason, the performance of the entire device is greatly linked to the performance of a single chip.
[0003]
On the other hand, a so-called multi-chip semiconductor device that uses a plurality of chips to improve the performance of the entire device has also been proposed. 25 to 27 are sectional views of conventional multichip semiconductor devices.
[0004]
FIG. 25 shows a multi-chip semiconductor device of a type in which a plurality of chips 82 are arranged in a plane on a laminated wiring board 81, for example. In the figure, reference numeral 83 denotes a solder bump. FIG. 26 shows a multi-chip semiconductor device of a type in which chips are connected to each other with the surfaces facing each other (Face to Face). FIG. 27 shows a multi-chip semiconductor device of a type in which a plurality of chips 82 are stacked using a stacked plate 84.
[0005]
[Problems to be solved by the invention]
However, these conventional multichip semiconductor devices have the following problems.
[0006]
That is, the conventional multichip semiconductor device of FIG. 25 has a problem that the planar area of the device is large because a plurality of chips 82 are arranged in a plane.
[0007]
The conventional multichip semiconductor device of FIG. 26 has a problem that the planar area of the device does not increase because a plurality of chips 82 are stacked, but the number of stacked layers is limited to two. Also, it is difficult to electrically test each chip.
[0008]
In the conventional multichip semiconductor device of FIG. 27, since a plurality of chips 82 can be stacked, there is no problem that the plane area of the device becomes large or the number of stacked layers is limited to two. Since it is necessary to provide the laminated board 84, a structure becomes complicated and cost and thickness increase.
[0009]
  The present invention has been made in consideration of the above circumstances, and an object of the present invention is to provide a multichip semiconductor device having a small planar area, a simple structure, and a small thickness.Realization ofIt is an object to provide a chip for a multichip semiconductor device and a method for forming the same.
[0016]
[Means for Solving the Problems]
[Constitution]
  To achieve the above object, according to the present invention.Multi-chip semiconductor device chip (claim)1) Is formed in a semiconductor substrate having elements integrated on the surface, an interlayer insulating film formed on the surface of the semiconductor substrate, and a through-hole penetrating the interlayer insulating film and the semiconductor substrate. A connection plug made of a metal for electrical connection with the connection plug, the connection plug being provided in the through hole, and having a hollow portion, and a side wall of the metal plug and the through hole And a low stress film provided in the hollow portion and having a difference in thermal expansion coefficient from that of the semiconductor substrate smaller than that of the metal plug.
[0018]
  Another chip for a multi-chip semiconductor device according to the present invention (claims)2) Is formed in a semiconductor substrate having elements integrated on the surface, an interlayer insulating film formed on the surface of the semiconductor substrate, and a through-hole penetrating the interlayer insulating film and the semiconductor substrate. A chip for a multichip semiconductor device comprising a connection plug made of a metal for electrical connection with the metal, wherein the connection plug is provided to a depth in the middle of the through hole on the semiconductor substrate surface side A plug and an insulating film provided between the metal plug and the side wall of the through hole are provided. A connection member for electrically connecting to another chip is provided in an unfilled portion of the through hole. It is characterized by being able to.
[0019]
Here, it is preferable that the back surface of the semiconductor substrate on the side where the connection member is provided is covered with an insulating film except for the portion of the connection member.
[0021]
  Further, according to the present inventionMethod for forming chip for multi-chip semiconductor device(Claims3), A step of forming elements on the surface of the semiconductor substrate, a step of forming an interlayer insulating film on the surface of the semiconductor substrate, etching the interlayer insulating film and the semiconductor substrate, penetrating the interlayer insulating film, And forming a hole not penetrating the semiconductor substrate, forming a first insulating film having a thickness not filling the hole on the side wall and bottom of the hole, and covering with the first insulating film. Filling the hole with metal as a metal plug, retreating the semiconductor substrate from the back of the semiconductor substrate until the first insulating film at the bottom of the hole is exposed, and the hole Selectively etching the semiconductor substrate on the bottom side of the hole until the first insulating film on the side wall of the hole is exposed above the first insulating film on the bottom of the hole; and The back side of the semiconductor substrate on the bottom side Forming a second insulating film on the surface, and retracting the first and second insulating films until the metal plug at the bottom of the hole is exposed, so that the back surface of the semiconductor substrate on the bottom side of the hole And a step of selectively leaving the second insulating film.
[0022]
  Further, another method for forming a chip for a multi-chip semiconductor device according to the present invention (claims)4) Is a method for forming a chip for a multi-chip semiconductor device (claim)3), The hole is formed before the wiring having the lowest melting point among the wirings formed on the semiconductor substrate is formed.
[0023]
Further, another method for forming a chip for a multi-chip semiconductor device according to the present invention (claims)5) Is a method for forming a chip for a multi-chip semiconductor device (claim)3), The semiconductor substrate is retracted after the semiconductor substrate is cut out from the wafer.
[0028]
  Further, the present invention (claims 1 to2The chip for a multi-chip semiconductor device is formed in a through hole penetrating the semiconductor substrate and the interlayer insulating film, and has a connection plug made of metal for electrically connecting to another chip.
[0029]
  Therefore, a multichip semiconductor device using such a chip for a multichip semiconductor device isTheThe plane area of the device is small, the structure is simple, and the thickness is thin.
[0030]
  Further, the present invention (claims 1 to2), The connection plug has an effect of promoting the heat dissipation of the chip. In addition, the device or the chip can be inspected by applying an inspection probe to the connection plug from the back surface of the chip.
[0036]
  Further, the present invention (claims)3), The through hole can be easily formed, and the exposed surface of the semiconductor substrate on the bottom side of the hole can be easily covered with the second insulating film.
[0037]
  Further, the recession of the semiconductor substrate is the present invention (claims).5It is preferable to carry out after the semiconductor substrate is cut out from the wafer as shown in FIG. This is because the wafer is generally large and has a low mechanical strength, so that it is difficult to perform the retreat uniformly by polishing or etching.
[0038]
DETAILED DESCRIPTION OF THE INVENTION
Hereinafter, embodiments of the present invention (hereinafter referred to as embodiments) will be described with reference to the drawings.
[0039]
(First embodiment)
FIG. 1 is a cross-sectional view of a multichip semiconductor device according to the first embodiment of the present invention.
[0040]
This multi-chip semiconductor device has three chips 11, 12, 1ThreeAre stacked. Each chip 11, 12, 1ThreeAre roughly divided into a silicon substrate 2 on which elements are integrated on the surface, a multilayer wiring layer 3 for connecting the integrated elements in a predetermined relationship, and a first of the multilayer wiring layers 3. It is formed in a through hole penetrating the interlayer insulating film and the silicon substrate 1 and is composed of a connection plug (metal plug 4, insulating film 5) for electrically connecting the chips.
[0041]
The multilayer wiring layer 3 includes a first interlayer insulating film covering the element, a first wiring layer connected to the element via a contact hole (first connection hole) formed in the first interlayer insulating film, And a second interlayer insulating film formed on the first interlayer insulating film and covering the first wiring layer, and via holes (second connection holes) formed in the second interlayer insulating film. A second wiring layer connected to the first wiring layer; Three or more multilayer wiring layers may be used.
[0042]
The metal plug 4 is formed outside the element formation region. An insulating film 5 is provided between the metal plug 4 and the silicon substrate 1 and the first interlayer insulating film, in other words, between the metal plug 4 and the through hole. The insulating film 5 and the metal plug 4 constitute a connection plug.
[0043]
Each chip 11, 12, 1ThreeEach of the multilayer wiring layers 3 is provided with a pad 6. Each chip 11, 12, 1ThreeThe silicon region on the back surface of the silicon substrate 2 opposite to the pad 6, in other words, the region other than the connection plug (metal plug 4, insulating film 5) is covered with the insulating film 7.
[0044]
Chip 11The metal plug 4 is connected to the chip 1 via the solder bump 8.2Are electrically connected to pads 6 provided in the multilayer wiring layer 3. As a result, chip 11Is chip 12Will be electrically connected. Note that bumps other than the solder bumps 8 may be used.
[0045]
Similarly, chip 12The metal plug 4 is connected to the chip 1 via the solder bump 8.ThreeThe chip 1 is electrically connected to the pad 6 provided on the multilayer wiring layer 3 of the chip 1.2Is chip 1ThreeAnd is electrically connected. In this way chip 11, 12, 1ThreeThey are electrically connected.
[0046]
According to this embodiment, the chip 11, 12, 1ThreeTherefore, unlike the conventional multi-chip semiconductor device in which a plurality of chips are positioned in a plane, there is no problem that the plane area of the device increases.
[0047]
Further, according to the present embodiment, since the chips are connected by the metal plug 4 penetrating the silicon substrate 2 and the first interlayer insulating film, the conventional multichip semiconductor in which the chips are connected by Face to Face. Unlike the device, there is no problem that the number of stacked chips is limited to two.
[0048]
Further, since a laminated plate is not used for connecting the chips, unlike the conventional multichip semiconductor device in which the chips are connected by the laminated plate, there is no problem that the structure becomes complicated or the thickness increases.
[0049]
Furthermore, the metal plug 4 has an effect of promoting heat dissipation.
[0050]
Therefore, according to the present embodiment, it is possible to realize a multichip semiconductor device having a small planar area, a simple structure, a thin thickness, and excellent heat dissipation.
[0051]
In the embodiment, the case where the number of chips is three has been described. However, if the chip structure of this embodiment is used, four or more chips can be similarly connected. Further, it is not always necessary that all the chips having the metal plug 4 be connected via the metal plug 4. That is, there may be a chip in which the metal plug 4 is formed only for the purpose of improving heat dissipation.
[0052]
(Second Embodiment)
FIG. 2 is a cross-sectional view of a multichip semiconductor device according to the second embodiment of the present invention. Parts corresponding to those of the multi-chip semiconductor device of FIG. 1 are denoted by the same reference numerals as those of FIG. 1, and detailed description thereof is omitted.
[0053]
In the present embodiment, the middle chip 12In this example, only the connection plug (metal plug 4 and insulating film 5) is provided.
[0054]
Chip1The pads 6 provided on the multilayer wiring layer 3 are connected to the chip 1 via the solder bumps 8.2Are electrically connected to pads 6 provided in the multilayer wiring layer 3. This makes the chip1Is chip 12Will be electrically connected. Chip 12The metal plug 4 is connected to the chip 1 via the solder bump 8.ThreeThe chip 1 is electrically connected to the pad 6 provided on the multilayer wiring layer 3 of the chip 1.2Is chip 1ThreeAnd is electrically connected. In this way chip 11, 12, 1ThreeThey are electrically connected.
[0055]
In the present embodiment, the same effect as in the first embodiment can be obtained. However, the middle chip 12Since only the connection plug (metal plug 4 and insulating film 5) is provided, four or more chips cannot be stacked. However, since only one connection plug is required, it is advantageous in terms of cost.
[0056]
(Third embodiment)
FIG. 3 is a cross-sectional view of a multichip semiconductor device according to the third embodiment of the present invention. Parts corresponding to those of the multi-chip semiconductor device of FIG. 1 are denoted by the same reference numerals as those of FIG. 1, and detailed description thereof is omitted.
[0057]
In this embodiment, two chips 11, 12Is an example of connection through a multilayer wiring board 9 made of ceramic.
[0058]
Chip1The pads 6 provided on the multilayer wiring layer 3 are electrically connected to the pads 6 provided on the multilayer wiring board 9 via solder bumps 8. The other pads 6 provided on the laminated wiring board 9 electrically connected to the pads 6 are connected to the chip 1.2Are electrically connected to pads 6 provided in the multilayer wiring layer 3. This makes the chip1Is chip 12Will be electrically connected.
[0059]
In the present embodiment, the same effect as in the first embodiment can be obtained. Furthermore, according to this embodiment, the chip 12The inspection of the device can be performed by applying an inspection probe to the pad 6 provided in the multilayer wiring layer 3 of the semiconductor device.
[0060]
On the other hand, as shown in FIG. 2, the chip 1 having the metal plug 4.2If there is a structure between the chips, the inspection probe cannot be applied, so such an inspection cannot be performed.
[0061]
(Fourth embodiment)
4 and 5 are process cross-sectional views illustrating a method for forming a chip for a multi-chip semiconductor device according to a fourth embodiment of the present invention.
[0062]
First, as shown in FIG. 4A, a silicon substrate 10 is prepared. The silicon substrate 10 is after element formation, and its surface is covered with a first interlayer insulating film 11. The material of the first interlayer insulating film 11 is SiO, like silicon nitride.2Those having an etching selectivity ratio are selected.
[0063]
Next, as shown in FIG.21 μm thick mask pattern 12 is formed on first interlayer insulating film 11, and then, using mask pattern 12 as a mask, first interlayer insulating film 11 is etched by an RIE method using an F-based gas as an etching gas. Then, by etching the silicon substrate 10, a hole 13 that penetrates the first interlayer insulating film 11 and does not penetrate the silicon substrate 10 is formed. Thereafter, it is preferable to perform annealing for recovering the defects of the silicon substrate 10 generated when the holes 13 are formed.
[0064]
The depth of the hole in the silicon substrate 10 is 100 μm. The total depth of the hole 13 is obtained by adding the thickness of the first interlayer insulating film 11 to this. The hole 13 eventually becomes a through hole.
[0065]
Note that the silicon substrate 10 is etched by the RIE method to form a hole, then the first interlayer insulating film 11 is formed, and then the first interlayer insulating film 11 or the first interlayer insulating film 11 and It is also possible to form the hole 13 by etching the silicon substrate 10 by the RIE method.
[0066]
In this case, the mask pattern used in the first etching is SiO.2Or Al or Al2OThreeThose made of materials such as can be used.
[0067]
Further, the processing technique for forming the holes 13 (through holes) is not limited to RIE, and photo etching, wet etching, ultrasonic processing, and electric discharge processing can also be used. Furthermore, you may combine the said processing technique suitably. A method combining RIE or photoetching with wet etching will be described later.
[0068]
Next, as shown in FIG. 4 (c), a 100 nm-thick SiO2 film is formed on the entire surface.2Film, 100 nm thick SiThreeNFourFilms are sequentially deposited using LPCVD to produce SiO2/ SiThreeNFourThe laminated insulating film 14 (first insulating film) is formed. Note that a single-layer insulating film may be used instead of the laminated insulating film 14.
[0069]
Next, as shown in FIG. 4D, a metal film 15 to be a metal plug is formed on the entire surface so as to overflow from the hole 13, and the hole 13 is filled with the metal film 15.
[0070]
Here, examples of the metal film 15 include a W film, a Mo film, a Ni film, a Ti film, and a metal silicide film thereof. Examples of the method for forming the metal film 15 include a CVD method, a sputtering method, and a plating method.
[0071]
Next, as shown in FIG. 5E, the metal film 15 and the laminated insulating film 14 are retracted by using a method such as a CMP method or an etch back method until the surface of the first interlayer insulating film 11 is exposed. .
[0072]
As a result, a structure in which the metal film (metal plug) 15 is embedded in the hole 13 is formed. Such a structure can be formed by other forming methods. The formation method will be described later (FIGS. 14 and 15).
[0073]
Next, as shown in FIG. 5F, a multilayer wiring structure 16 constituting a multilayer wiring layer is formed on the silicon substrate 10 together with the first interlayer insulating film 11. The multilayer wiring structure 16 is composed of a metal wiring (wiring layer), an interlayer insulating film, a plug, and the like. Thereafter, a groove is formed on the surface of the multilayer wiring structure 16, and then a pad 17 is formed in the groove.
[0074]
6 and 7 show examples of specific structures of the multilayer wiring layer in the hole 13 region and the multilayer wiring layer in the element region, respectively.
[0075]
A MOS transistor is formed in the element region. In the figure, 11a is a second interlayer insulating film, 11b is a third interlayer insulating film, 11c is a fourth interlayer insulating film, 11n is an nth interlayer insulating film, and 19a and 20a are first metal wirings. , 19b and 20b are second metal wires, and 20c is a third metal wire.
[0076]
Next, as shown in FIG. 5G, the silicon substrate 10 is retracted from the back surface of the silicon substrate opposite to the surface on which the hole 13 is formed until the insulating film 14 at the bottom of the hole 13 is exposed.
[0077]
Here, the receding (thinning) of the silicon substrate 10 is performed by a method using a processing technique such as CMP, chemical polishing, mechanical polishing, wet etching, plasma etching or gas etching, or a combination of these processing techniques. . Of these, CMP is the most typical method and is preferred.
[0078]
The step of FIG. 5G is preferably performed under conditions that allow a selection ratio between the silicon substrate 10 and the insulating film 14 to be obtained. If the process is performed under such conditions, the process can be automatically terminated at the insulating film 14.
[0079]
Next, as shown in FIG. 5H, the back surface of the silicon substrate 10 on the bottom side of the hole 13 is selected until the insulating film 14 on the side wall of the hole 13 is exposed above the insulating film 14 on the bottom of the hole 13. Etch. For this etching, for example, dry etching such as CDE or RIE or wet etching is used. Note that CMP may be used instead of etching.
[0080]
Thereafter, the damaged layer caused by the etching or CMP is removed by, for example, wet etching. This removal step is unnecessary when no damage layer is formed. The reason for removing the damaged layer is that the damaged layer is made of the next SiO2This is because the process of forming the film 18 is affected.
[0081]
Next, as shown in FIG. 6H, the plasma CVD method is used to form SiO on the entire back surface of the silicon substrate 10 on the bottom side of the hole 13.2A film 18 (second insulating film) is formed. When a low temperature process is required, SiO2Instead of the film 18, a coating film such as an SOG film may be used. When it is desired to reduce the stress applied to the silicon substrate 10, SiO 22Instead of the film 18, an organic film such as a polyimide film may be used.
[0082]
Next, as shown in FIG. 5 (i), until the metal plug 15 is exposed, the CMP method is used.2The film 18 and the laminated insulating film 14 are polished.
[0083]
As a result, the connection plug comprising the insulating film 14 and the metal plug 15 is embedded in the through hole (hole 13), and the silicon region on the back surface of the silicon substrate 10 is SiO.2The structure covered with the membrane 18 is completed.
[0084]
As described above, in this embodiment, after the hole 13 that does not penetrate the silicon substrate 10 is formed on the surface of the silicon substrate 10, the silicon substrate 10 and the like are polished from the back surface to thereby form the inside of the through hole (hole 13). Is embedded with a connection plug (insulating film 14, metal plug 15).
[0085]
Therefore, according to the present embodiment, even if the original silicon substrate 1 is thick (usually thick), it is not necessary to form a deep through-hole, so that the through-hole (hole 13) is connected to the connection plug (insulating film 14, A structure embedded with the metal plug 15) can be easily formed.
[0086]
In addition, unlike the method of etching from the back surface of a thick silicon substrate to form deep through holes, the method of this embodiment eliminates the need for photolithography that requires front / back pattern alignment. The connection plug formation process is simple and requires fewer steps.
[0087]
Note that the silicon region on the back surface is made of SiO.2When it is not necessary to cover with the film 18, the through hole (hole 13) is connected by polishing the silicon substrate 10 and the laminated insulating film 14 until the metal plug 15 is exposed in the step of FIG. A structure embedded with plugs (insulating film 14, metal plug 15) is completed.
[0088]
Further, it is preferable to polish (retreat) the silicon substrate 10 after cutting the silicon substrate 10 from the wafer. This is because the wafer is generally large and has a low mechanical strength, so that it is difficult to polish (retreat) uniformly.
[0089]
Further, since the hole 13 is formed before the metal wiring is formed and the metal plug 15 is formed by embedding the metal film therein, the metal wiring is not affected by the thermal process when the metal plug 15 is formed. It will end. Further, the metal wiring is not affected by annealing for defect recovery performed after the hole 13 is formed by RIE.
[0090]
Thus, for example, when an Al wiring (the melting point of Al is 660 ° C.) is used as the metal wiring, the metal plug 15 can be formed of a conductive paste such as Au having a low resistance (sintering temperature is about 600 ° C.). It becomes.
[0091]
Further, since the metal plug 15 is formed after the element is formed, it is possible to prevent deterioration of element characteristics due to diffusion of the constituent metal of the metal plug 15.
[0092]
On the contrary, when the element is formed after the metal plug 15 is formed, the constituent metal of the metal plug 15 diffuses to the element region in a high-temperature heat process necessary for forming the element, and the element characteristics deteriorate. Occurs.
[0093]
FIG. 8 shows sectional views of connection plugs having various structures. This is a cross-sectional view corresponding to the step of FIG. In the figure, reference numeral 19 denotes a metal wiring.
[0094]
FIG. 8A shows the connection plug of this embodiment.
[0095]
FIG. 8B shows a connection plug having a low stress film 18.
[0096]
That is, in this connection plug, the metal plug 15 is formed so that an unfilled portion is formed in the through hole, and the difference in thermal expansion coefficient from the semiconductor substrate 10 a is smaller in the unfilled portion than the metal plug 15. Is formed and the through hole is filled.
[0097]
The low stress film 18 may be an insulating film, a semiconductor film, or a metal film. Specifically, conductive paste film, FOX film, SOG film, SiOD (High Density Plasma) -SiO formed by CVD method2Examples include membranes.
[0098]
By using such a connection plug, a large stress is applied to the connection plug forming portion, and deterioration of element characteristics due to the occurrence of a defect in the silicon substrate 10 can be prevented.
[0099]
FIG. 8C shows a connection plug having a cap metal film 45.
[0100]
That is, the metal plug 15 is formed only to a depth in the middle of the through hole, and a cap metal film 45 filling the through hole is formed on the upper surface of the metal plug 15. FIG. 8D shows a connection plug using a cap insulating film 46 instead of the cap metal film 45.
[0101]
With the cap metal film 45 and the cap insulating film 46, the surface of the metal plug 15 can be flattened, whereby the fine metal wiring 19 can be easily formed on the metal plug 15.
[0102]
Further, by using the cap insulating film 46 that can be formed at a low temperature, problems such as oxidation of the surface of the metal plug 15 in a later step can be prevented.
[0103]
FIG. 9 is a process cross-sectional view illustrating another method for forming the hole 13. This is a formation method in which RIE or photoetching and wet etching are combined.
[0104]
First, as shown in FIG. 9A, a first interlayer insulating film 11 is formed on a silicon substrate 10 whose main surface is {100}. Next, as shown in FIG. 6A, after forming a mask pattern 12 on the first interlayer insulating film 11, the first interlayer insulating film 11 and the silicon substrate 10 are etched using the mask pattern 12 as a mask. Then, the hole 13 whose cross-sectional shape is rectangular1Form.
[0105]
Here, as the etching, RIE or photoetching (photochemical etching, photoablation (photoablation) etching) is used. In particular, photoetching has the advantages of high-speed etching and low damage.1Suitable for forming. In the case of photochemical etching, for example, Cl as an etching gas.2Ultraviolet rays are used as gas and excitation light.
[0106]
Next, as shown in FIG. 9B, the silicon substrate 10 is wet etched using the mask pattern 12 as a mask to expose the {111} plane. As a result, the cross-sectional shape of the hole 13 is triangular.2Is formed. As the etchant, for example, a KOH solution having a temperature of 60 to 90 ° C. is used.
[0107]
Next, as shown in FIG.2Inside, for example, a metal 21 such as Ni, Ti, Zr, Hf, or V is disposed. Specifically, the metal 21 is inserted into the hole 13.2Place in the bottom part of.
[0108]
Next, as shown in FIG. 9C, the metal 21 and the silicon substrate 10 are reacted by heat treatment to cause the holes 13 to react.2A metal silicide film 22 is formed on the lower silicon substrate 10.
[0109]
Next, as shown in FIG. 9D, the metal silicide film 22 is selectively removed by etching to form a deeper hole 13.ThreeForm. Finally, after forming the insulating film and filling the metal, the back surface of the substrate is polished to obtain a deep through hole.
[0110]
By deepening the holes in steps as described above, it becomes possible to easily form deep holes, and thus it is possible to easily form through holes.
[0111]
FIG. 10 shows another method for forming the metal plug.
[0112]
FIG. 10A shows a method in which the conductive paste 23 is applied to the entire surface, then the conductive paste 23 is fluidized by heat treatment, and the conductive paste 23 is embedded in the holes. Excess conductive paste 23 outside the hole is removed using, for example, a CMP method.
[0113]
FIG. 10B shows a method in which the metal fine particles 24 are deposited on the entire surface, the inside of the hole is filled with the fine particles 24, and then the excess metal fine particles 24 outside the hole are removed using a CMP method or the like. .
[0114]
Instead of the metal fine particles 29, a solvent (suspension) in which metal particles are dispersed may be used.
[0115]
In FIG. 10C, a silicon film 25 is deposited on the entire surface, and then a refractory metal film (not shown) such as a Ti film is deposited on the silicon film 25, and then the refractory metal film and the silicon film 25 are subjected to heat treatment. The metal silicide film 26 is formed by reacting with the above. Excess metal silicide film 26 outside the hole is removed using, for example, a CMP method.
[0116]
The silicon film is conformally deposited on the insulating film. Further, the adhesion between the silicon film and the metal film is high. Therefore, in the case of the method of FIG. 10C, even if the hole is deep, the entire surface of the laminated insulating film 14 in the hole is covered with the silicon film 25. Therefore, the metal silicide film covering the entire surface of the laminated insulating film 14 in the hole. 31 is formed. In addition, when a cavity part remains in a hole, it is good to fill with a low stress film | membrane, for example.
[0117]
FIG. 11 shows still another method for forming a metal plug.
[0118]
First, as shown in FIG. 11A, a silicon film 27 having a cavity is formed by covering the entire side wall and bottom of the hole 13. Thereafter, as shown in FIG. 5A, Ni grains 28 (metal balls) having a diameter of about 10 μm are disposed in the holes 13.
[0119]
Next, as shown in FIG. 11B, the silicon film 27 and the Ni grains 28 are reacted by heat treatment to form a nickel silicide film 29 in the hole 13. Since there is not a sufficient amount of silicon film 27 and Ni grains 28 in the hole 13, a cavity portion remains above the nickel silicide film 29.
[0120]
Finally, as shown in FIG. 11C, after depositing an insulating film or a metal film to be the cap film 30 on the entire surface, the insulating film or the metal film is polished to form a cavity above the nickel silicide film 30. The portion is filled with the cap film 35.
[0121]
Note that the method for forming the metal plug is the method described so far (CVD method, sputtering method, plating method, method using conductive paste, method using metal fine particles, method using metal balls, suspension liquid) It is not limited to the method used), and various methods such as a method of appropriately combining these methods are possible.
[0122]
FIG. 12 shows another method for forming the connection plug. This method is different from the conventional methods in that the metal plug 15 is formed after the back surface of the silicon substrate 11 is polished to form a through hole.
[0123]
First, as shown in FIG. 12A, after a mask pattern 12a made of Al is formed on a silicon substrate 10 having elements formed on the surface, a first interlayer insulating film is formed using the mask pattern 12a as a mask. 11 and the silicon substrate 10 are etched to form holes 13. Thereafter, the mask pattern 12a is removed.
[0124]
Next, as shown in FIG. 12B, after the SOG film 31 is formed on the entire surface, the FOX film 32 is formed on the entire surface so that the hole 13 is completely buried.
[0125]
Next, as shown in FIG. 12C, the SOG film 31 and the FOX film 32 outside the hole 13 are removed by using, for example, a CMP method or an etch back method.
[0126]
Thereafter, the steps shown in FIGS. 5E to 5I are performed.
[0127]
Next, as shown in FIG. 12D, after the FOX film 32 in the hole 13 is removed by using, for example, the CDE method, the inside of the hole 13 is formed in the same manner as in the steps of FIGS. 4D and 5E. Then, a metal plug 15 made of a metal film is embedded and formed.
[0128]
In the case of the connection structure as shown in FIG. 13, the metal balls 34 such as the pads 33 and Au balls are formed after the metal plugs 15 are formed.
[0129]
14 and 15 show still another method for forming the connection plug. This method is different from the conventional methods in that a metal plug 15 previously formed in a place different from the silicon substrate 10 is embedded in the hole 13.
[0130]
First, a method for forming the metal plug 15 will be described.
[0131]
First, as shown in FIG.2A groove 36 is formed on the surface of the substrate 35 made of the following.
[0132]
Next, as shown in FIG. 3A, a metal ball 37 is embedded in the groove 36.
[0133]
Finally, as shown in FIG. 14B, the metal plug 37 made of a metal film is formed in the groove 36 by melting the metal ball 37 by heat treatment.
[0134]
Next, a method for forming a connection plug using the metal plug 15 formed in advance will be described.
[0135]
First, as shown in FIG. 14C, the metal plug 15 is bonded to the adhesive film 38.
[0136]
Next, as shown in FIG. 15 (d), the metal plug 15 adhered to the adhesive film 38 is taken out from the groove 36.
[0137]
Next, as shown in FIG. 15E, the metal plug 15 bonded to the adhesive film 38 is embedded in the hole 13 of the silicon substrate 10 at the stage of the process of FIG. Thereafter, the adhesive film 38 is removed.
[0138]
Next, as shown in FIG. 15 (f), the metal plug 15 is fixed in the hole 13 by melting the metal plug 15 by heat treatment.
[0139]
In the case of the method using the metal plug 15 formed in advance on the substrate 15, a method of forming a metal film to be the metal plug 4 on the silicon substrate 10 by using a film forming method such as a sputtering method or a CVD method. Compared with the above case, the throughput is high and the process temperature is low.
[0140]
Here, the material of the substrate 35 is SiO.2However, other materials may be used as long as the material does not react with the metal ball 37.
[0141]
Instead of the metal balls 37, a low-resistance conductive paste such as Au or Pd may be used. In this case, the conductive paste is embedded in the groove 36 by using a screen printing method, and then the conductive paste is sintered to form the metal plug 15.
[0142]
Here, although the conductive paste such as Au or Pd has a high sintering temperature, there is no problem because the conductive paste is sintered on the substrate 35 which is a separate melt from the silicon substrate 10. In addition, unlike a normal conductive paste, the conductive paste does not need to contain resin or glass.
[0143]
Moreover, although the metal plug 15 was taken out from the groove 36 using the adhesive film 38, it may be taken out by other means such as tweezers.
[0144]
Alternatively, the metal plug 15 may be fixed in the hole 13 by forming an adhesive layer in the hole 13 in advance. Specifically, for example, SOG or FOX is applied in the hole 13 to form an adhesive layer, and then the metal plug 15 is embedded in the hole 13. Thereafter, the adhesive layer is cured.
[0145]
(Fifth embodiment)
FIG. 16 is a cross-sectional view illustrating a method for forming a chip for a multichip semiconductor device according to a fifth embodiment of the present invention. 4 and FIG. 5 are assigned the same reference numerals as those in FIG. 4 and FIG. 5 and their detailed description is omitted.
[0146]
In this embodiment, after the step of FIG. 5 (i) of the fourth embodiment, as shown in FIG. 16 (a), the metal plug 15 is etched from the back surface of the silicon substrate 10 so that the through hole is not formed. Forming a filling portion;
[0147]
Next, as shown in FIG. 16B, after the metal plug 15 (the concave portion of the unfilled portion of the through hole) and the solder bump 8 are aligned, the metal plug 15 and the solder bump 8 are connected.
[0148]
Here, the alignment of the metal plug 15 and the solder bump 8 is preferably performed by image processing. This is because, on the screen, the difference in density between the concave portion of the unfilled portion and the non-filled portion becomes clear, so that accurate alignment can be easily performed.
[0149]
Further, when the side surfaces of the bumps 8 are in contact with the side surfaces of the through holes, the bumps 8 are more firmly fixed as compared with the case where there is no recess in the unfilled portion.
[0150]
Conversely, a convex structure in which the metal plug 15 protrudes from the through hole may be employed. In this case, since the bump 8 does not contact the silicon substrate 10, contamination of the silicon substrate 10 by the bump 8 can be effectively prevented.
[0151]
(Sixth embodiment)
FIG. 17 is a cross-sectional view of a multichip semiconductor device according to the sixth embodiment of the present invention. Parts corresponding to those of the multi-chip semiconductor device of FIG. 1 are denoted by the same reference numerals as in FIG. Chip 11, 12In FIG. 5, the multilayer wiring layer 3, the insulating films 5 and 7, the pad 6, and the like are omitted.
[0152]
The feature of this embodiment is the chip 11The heat dissipating fins 39 are provided on the top. The heat radiating fins 39 are connected to the chip 1 by an adhesive 40.1It is fixed to. It should be noted that other fixing methods such as metallization on the insulating film may be used.
[0153]
According to the present embodiment, the metal plug 4 and the heat radiating fan 39 can sufficiently increase the heat dissipation of the device.
[0154]
(Seventh embodiment)
FIG. 18 is a cross-sectional view of a multichip semiconductor device according to the seventh embodiment of the present invention. Parts corresponding to those of the multi-chip semiconductor device of FIG. 1 are denoted by the same reference numerals as in FIG. In the figure, 7a indicates an insulating film, and 42 indicates a solder.
[0155]
The feature of this embodiment is the chip 11And chip 12Is provided with a dummy bump 8d for heat dissipation.
[0156]
Chip 11And chip 12Are mechanically connected via dummy bumps 8d but not electrically connected. The dummy bump 8d is formed on the chip 1 via a metal film (not shown), for example.1And chip 12Connect with.
[0157]
Examples of the material of the dummy bump 8d include metals such as Au. Even if it is not a metal, a semiconductor or an insulator may be used as long as it has a good thermal conductivity. A filler may also be used. If the dummy bumps 8d and the wiring bumps 8 are formed of the same material, these bumps can be formed at the same time, and an increase in the number of steps can be prevented.
[0158]
Although the heat dissipation is improved only by the dummy bumps 8d, it is preferable to connect the dummy bumps 8d to the heat dissipation fins in order to effectively increase the heat dissipation.
[0159]
(Eighth embodiment)
FIG. 19 shows a method for manufacturing a multichip semiconductor device according to the eighth embodiment of the present invention.
[0160]
In the method shown in FIG. 16, the solder bumps 8 are formed on the metal plug 15, but in the present embodiment, conversely, the connection destination member 47 (for example, a chip having a metal plug, a chip having no metal plug, or a laminated wiring) A solder bump 8 is formed on the substrate), and the solder bump 8 is connected to the metal plug 4 protruding from the back surface of the silicon substrate 2.
[0161]
Also in this case, since the bumps 8 do not contact the silicon substrate 10, contamination of the silicon substrate 10 by the bumps 8 can be effectively prevented.
[0162]
(Ninth embodiment)
FIG. 20 is a schematic view showing a multichip semiconductor device according to the ninth embodiment of the present invention.
[0163]
Parts corresponding to those of the multi-chip semiconductor device of FIG. 1 are denoted by the same reference numerals as in FIG. Chip 11, 12, 1ThreeIn FIG. 5, the multilayer wiring layer 3, the insulating films 5 and 7, the pad 6, and the like are omitted. Chip 1ThreeMay or may not have the metal plug 4.
[0164]
This embodiment is an example using a TAB tape as a mounting member. In the figure, reference numeral 43 denotes a plastic tape, and 44 denotes a lead terminal. FIG. 21 is a schematic diagram of a conventional multichip semiconductor device using a TAB tape. From the figure, it can be seen that the planar area is larger than that of the present embodiment.
[0165]
According to the present embodiment, in addition to the effect that chips can be stacked and the planar area can be reduced, all chips, some chips, or each chip can be inspected using the metal plug 4.
[0166]
If the entire apparatus is inspected, the chip 1 in the state shown in FIG.1An inspection probe is applied to a pad (not shown) provided on the multilayer wiring layer. Chip 11, 12If the inspection1, 12After connecting the chip 12An inspection probe is applied to a pad (not shown) provided on the multilayer wiring layer.
[0167]
(Tenth embodiment)
22 to 24 are views showing a method of manufacturing a multichip semiconductor device according to the tenth embodiment of the present invention.
[0168]
First, according to a known method, as shown in FIG. 22A, a NAND type EEPROM memory cell and a peripheral element (not shown) are formed on a silicon substrate 50, and then a first interlayer insulating film 56 is formed.
[0169]
In the figure, 51 is a tunnel oxide film, 52FIs a floating gate electrode, 53 is an insulating film between gate electrodes, 52CDenotes a control gate electrode, 54 denotes a source diffusion layer, and 55 denotes a drain diffusion layer. Although a plurality of memory cells are actually formed, only one memory cell is shown in the figure for simplicity.
[0170]
Next, as shown in FIG. 6A, after forming a contact hole in the first interlayer insulating film 56, a Ti / TiN laminated film 57 and a W bit line plug 58 are formed.
[0171]
Specifically, first, a contact hole is formed, then a Ti film and a TiN film are sequentially formed on the entire surface, and then a W film is formed on the entire surface by using a blanket CVD method. Finally, the W film, the Ti film, and the TiN film outside the contact hole are removed using the CMP method.
[0172]
Next, as shown in FIG. 22B, a mask pattern 59 made of Al, for example, is formed on the first interlayer insulating film 56, and the mask pattern 59 is used as a mask to form a first region in a region where a connection plug is to be formed. By etching the interlayer insulating film 56 and the silicon substrate 50, a hole 60 having a depth of 150 to 200 μm and a square of 100 μm × 100 μm is formed. Thereafter, the mask pattern 59 is removed.
[0173]
Next, as shown in FIG. 22C, SiO covering the inside of the hole 60 is formed.2A film 61 is formed, a polycrystalline silicon film 62 having a thickness of 500 nm as an adhesion film is formed thereon, and then a Ni film 63 as a metal plug is embedded in the hole 60.
[0174]
Specifically, a 500 nm thick SiO2A film 61, a polycrystalline silicon film 62 having a thickness of 500 nm, and a Ni film 63 are sequentially formed on the entire surface, and then excess SiO outside the hole 60 is formed by CMP.2The film 61, the polycrystalline silicon film 62, and the Ni film 63 are removed.
[0175]
The Ni film 63 is formed by, for example, embedding the Ni paste in the hole 60 using a screen printing method and then sintering the Ni paste by heat treatment at 600 ° C.
[0176]
Next, as shown in FIG. 23D, a bit line 64 and a first wiring layer 65 are formed according to a known method.
[0177]
Specifically, for example, a bit film 64, a Ti film having a thickness of 10 nm, a TiN film having a thickness of 10 nm, an AlCu film having a thickness of 400 nm, and a TiN film having a thickness of 40 nm are formed as the first wiring layer 65. After that, the laminated film is formed by processing using photolithography and etching.
[0178]
Next, as shown in FIG. 6D, a second interlayer insulating film 66 is formed, a via hole is formed in the second interlayer insulating film 66, and then the first wiring layer 65 is connected via a plug 67. A second wiring layer 68 connected to is formed.
[0179]
The formation method of the second wiring layer 68 is the same as that of the first wiring layer 65. As the plug 67, for example, a W film is used. Note that the second wiring layer in the memory cell region is omitted.
[0180]
Next, as shown in FIG. 4D, after forming a photosensitive polyimide film 69 having a thickness of 450 nm as a passivation film covering the second wiring layer 68 by using the plasma CVD method, photolithography and etching are performed. Using this, an opening (pad hole) is formed on the second wiring layer 68. Thereafter, it is desirable to apply a probe to a pad (not shown) to determine whether each chip formed on the wafer is non-defective or defective.
[0181]
Next, as shown in FIG. 23E, the back surface of the silicon substrate 50 is mechanically polished to expose the Ni film 63.
[0182]
This polishing step is preferably performed after the silicon substrate 50 is cut out from the wafer. This is because, as described above, uniform polishing is difficult in the wafer state. Thereafter, damage caused by polishing is removed by wet etching. It is preferable that a shallow scribe line is placed in advance on the front surface of the wafer so that chip division is automatically performed when the wafer is thinned by polishing the back surface.
[0183]
Next, as shown in FIG. 23F, after forming the Au ball bump 70 on the second wiring layer 68, the solder 71 is formed on the Au ball bump 70 by using a transfer method. At this time, when a good chip is known in advance by probe measurement, the yield and production efficiency can be improved by forming the Au ball bump 70 only on the good chip.
[0184]
Finally, as shown in FIG. 24, after positioning the solder 71 (Au ball bump 70) and the Ni film (metal plug) 63, the solder 71 and the Ni film (metal plug) 63 are connected, By connecting the silicon substrates 50 to each other, an EEPROM multi-chip semiconductor device is completed. Thereafter, the electrical characteristics are evaluated. If the stacked chips are defective, the solder 71 is heated to the melt temperature to disconnect the chips and replace the defective chips with non-defective chips.
[0185]
In the present embodiment, the NAND-type EEPROM multi-chip semiconductor device has been described. However, a NOR-type EEPROM multi-chip semiconductor device and a DRAM multi-chip semiconductor device can also be manufactured by the same method as the present embodiment. . Furthermore, a multi-chip semiconductor device of an information processing apparatus such as a personal computer constituted by an EEPROM, DRAM or other semiconductor memory or a combination thereof and a CPU can be manufactured.
[0186]
【The invention's effect】
As described in detail above, according to the present invention (Claims 1 and 2), at least one chip has a structure in which a connection plug made of metal is formed in a through hole that penetrates the semiconductor substrate and the interlayer insulating film. In addition, since the chip having the connection plug is electrically connected to another chip through the connection plug, the multi-chip semiconductor device having a small planar area, a simple structure, and a small thickness Can be realized.
[0187]
Further, in the present invention (claims 3 to 7), as a chip for a multi-chip semiconductor device, in a through-hole penetrating a semiconductor substrate on which an element is formed and the semiconductor substrate and an interlayer insulating film formed thereon. A structure having a connection plug formed of metal for electrically connecting to another chip is used.
[0188]
Therefore, by using the multi-chip semiconductor device chip having such a configuration, the multi-chip semiconductor device according to the present invention (claims 1 and 2) can be realized.
[0189]
Further, in the present invention (claims 8 to 12), after forming a hole that penetrates the interlayer insulating film but does not penetrate the semiconductor substrate, the semiconductor substrate is retreated from the back surface to form the through hole. Even if the original semiconductor substrate is thick, the through hole can be easily formed.
[0190]
Therefore, even if the semiconductor substrate is thick, the chip for a multichip semiconductor device according to the present invention (claims 3 to 7) can be easily formed.
[Brief description of the drawings]
FIG. 1 is a cross-sectional view of a multichip semiconductor device according to a first embodiment of the present invention.
FIG. 2 is a cross-sectional view of a multichip semiconductor device according to a second embodiment of the present invention.
FIG. 3 is a cross-sectional view of a multichip semiconductor device according to a third embodiment of the present invention.
FIG. 4 is a process cross-sectional view of the first half showing a method for forming a chip for a multi-chip semiconductor device according to a fourth embodiment of the present invention;
FIG. 5 is a process cross-sectional view in the latter half showing a method for forming a chip for a multi-chip semiconductor device according to a fourth embodiment of the present invention;
FIG. 6 is a cross-sectional view showing a specific structure example of a multilayer wiring layer in a hole region
FIG. 7 is a cross-sectional view showing a specific structural example of a multilayer wiring layer in an element region.
FIG. 8 is a cross-sectional view showing a through plug
FIG. 9 is a process sectional view showing another method for forming holes.
FIG. 10 is a sectional view showing another method for forming a metal plug.
FIG. 11 is a cross-sectional view showing still another method for forming a metal plug.
FIG. 12 is a process cross-sectional view illustrating another method for forming a connection plug.
FIG. 13 is a cross-sectional view showing another connection structure of a multichip.
FIG. 14 is a process cross-sectional view of the first half showing still another method for connecting plugs.
FIG. 15 is a process cross-sectional view in the latter half showing still another method for connecting plugs.
FIG. 16 is a cross-sectional view showing a method for forming a chip for a multichip semiconductor device according to a fifth embodiment of the present invention;
FIG. 17 is a cross-sectional view of a multichip semiconductor device according to a sixth embodiment of the present invention.
FIG. 18 is a cross-sectional view of a multichip semiconductor device according to a seventh embodiment of the present invention.
FIG. 19 is a view showing the method for manufacturing the multichip semiconductor device according to the eighth embodiment of the invention.
FIG. 20 is a schematic view showing a multichip semiconductor device according to a ninth embodiment of the present invention.
FIG. 21 is a schematic diagram showing a conventional multichip semiconductor device using a TAB tape.
FIG. 22 is a process cross-sectional view of the first half showing a method for manufacturing a multichip semiconductor device according to a tenth embodiment of the present invention;
FIG. 23 is a process cross-sectional view in the latter half showing the method for manufacturing a multichip semiconductor device according to the tenth embodiment of the present invention;
FIG. 24 is a cross-sectional view showing the method for manufacturing the multichip semiconductor device according to the tenth embodiment of the invention.
FIG. 25 is a cross-sectional view of a conventional multichip semiconductor device.
FIG. 26 is a cross-sectional view of another conventional multichip semiconductor device.
FIG. 27 is a sectional view of still another conventional multi-chip semiconductor device.
[Explanation of symbols]
11, 12, 1Three... chip
2 ... Silicon substrate
3 ... Multilayer wiring layer
4. Metal film (metal plug)
5 ... Insulating film
6 ... Pad
7 ... Insulating film
7a ... Insulating film
8 ... Solder bump (connection member)
8d ... Dummy bump
9 ... Laminated wiring board (mounting member)
10 ... Silicon substrate
11: First interlayer insulating film
11a: second interlayer insulating film
11b ... third interlayer insulating film
11c ... fourth interlayer insulating film
11n: nth interlayer insulating film
12 ... Mask pattern
12a ... Mask pattern
13, 131~ 13Three... Hole (through hole)
14: Multilayer insulating film (first insulating film)
15 ... Metal film (metal plug)
16 ... Multilayer wiring structure
17 ... Pad
18 ... SiO2Film (second insulating film)
19 ... Metal wiring
19a ... 1st metal wiring
19b ... second metal wiring
20a ... 1st metal wiring
20b ... second metal wiring
20c ... Third metal wiring
21 ... metal
22 ... Metal silicide film
23 ... Conductive paste
24 ... Metal particles
25 ... Silicon film
26. Metal silicide film
27 ... Silicon film
28 ... Ni grains
29 ... Nickel silicide film
30 ... Cap membrane
31 ... SOG film
32 ... FOX membrane
33 ... Pad
34 ... Metal balls
35 ... Board
36 ... Groove
37 ... Metal balls
38 ... Adhesive film
39 ... Radiating fins
40 ... Adhesive
41. Insulating film
42 ... Solder
43 ... plastic tape
44 ... Lead terminal
45 ... Cap metal film
46. Cap insulating film
47 ... Member of connection destination
50 ... Silicon substrate
51. Tunnel oxide film
52F... Floating gate electrode
53C... Control gate electrode
53. Insulating film between gate electrodes
54 ... Source diffusion layer
55 ... Drain diffusion layer
56... First interlayer insulating film
57 ... Ti / TiN laminated film
58 ... W bit line plug
59 ... Mask pattern
60 ... hole
61 ... SiO2film
62 ... polycrystalline silicon film
63 ... Ni film
64: Bit line
65: First wiring layer
66. Second interlayer insulating film
67 ... Plug
68. Second wiring layer
69 ... Polyimide film
70 ... Au ball bump

Claims (5)

表面に素子が集積形成された半導体基板と、
この半導体基板表面上に形成された層間絶縁膜と、
この層間絶縁膜および前記半導体基板を貫通する貫通孔内に形成され、他のチップと電気的に接続するための金属からなる接続プラグと
を具備してなり、
前記接続プラグは、前記貫通孔内に設けられ、中空部を有する金属プラグと、この金属プラグと前記貫通孔の側壁との間に設けられた絶縁膜と、前記中空部内に設けられ、前記半導体基板との熱膨脹係数の差が、前記金属プラグよりも小さい低ストレス膜とから構成されていることを特徴とするマルチチップ半導体装置用チップ。
A semiconductor substrate with integrated elements formed on the surface;
An interlayer insulating film formed on the surface of the semiconductor substrate;
The interlayer insulating film and a through-hole penetrating the semiconductor substrate, and comprising a connection plug made of metal for electrically connecting to another chip,
The connection plug is provided in the through hole and has a metal plug having a hollow portion, an insulating film provided between the metal plug and a side wall of the through hole, and provided in the hollow portion. A chip for a multi-chip semiconductor device, comprising a low-stress film having a difference in thermal expansion coefficient from that of a substrate that is smaller than that of the metal plug.
表面に素子が集積形成された半導体基板と、
この半導体基板表面上に形成された層間絶縁膜と、
この層間絶縁膜および前記半導体基板を貫通する貫通孔内に形成され、他のチップと電気的に接続するための金属からなる接続プラグと
を具備してなり、
前記接続プラグは、前記貫通孔の前記半導体基板表面側の途中の深さまで設けられた金属プラグと、この金属プラグと前記貫通孔の側壁との間に設けられた絶縁膜とから構成され、前記貫通孔の未充填部分に、他のチップと電気的に接続するための接続部材が設けられることを特徴とするマルチチップ半導体装置用チップ。
A semiconductor substrate with integrated elements formed on the surface;
An interlayer insulating film formed on the surface of the semiconductor substrate;
The interlayer insulating film and a through-hole penetrating the semiconductor substrate, and comprising a connection plug made of metal for electrically connecting to another chip,
The connection plug is composed of a metal plug provided up to an intermediate depth of the through hole on the semiconductor substrate surface side, and an insulating film provided between the metal plug and a side wall of the through hole, A chip for a multi-chip semiconductor device, wherein a connection member for electrically connecting to another chip is provided in an unfilled portion of the through hole.
半導体基板表面に素子を集積形成する工程と、
前記半導体基板表面上に層間絶縁膜を形成する工程と、
この層間絶縁膜および前記半導体基板をエッチングし、前記層間絶縁膜を貫通し、かつ前記半導体基板を貫通しない孔を形成する工程と、
この孔の側壁および底部に、該孔を充填しない厚さの第1の絶縁膜を形成する工程と、
前記第1の絶縁膜で被覆された前記孔内に金属プラグとしての金属を充填する工程と、
前記孔内の底部の前記第1の絶縁膜が露出するまで、前記半導体基板裏面から、前記半導体基板を後退させる工程と、
前記孔の底部の前記第1の絶縁膜より上の、前記孔の側壁の前記第1の絶縁膜が露出するまで、前記孔の底部側の前記半導体基板を選択的にエッチングする工程と、
前記孔の底部側の前記半導体基板裏面全面に第2の絶縁膜を形成する工程と、
前記孔の底部の前記金属プラグが露出するまで、前記第1および第2の絶縁膜を後退させて、前記孔の底部側の前記半導体基板裏面に、前記第2の絶縁膜を選択的に残置させる工程と
を有することを特徴とするマルチチップ半導体装置用チップの形成方法。
A step of integrally forming elements on a semiconductor substrate surface;
Forming an interlayer insulating film on the surface of the semiconductor substrate;
Etching the interlayer insulating film and the semiconductor substrate, forming a hole penetrating the interlayer insulating film and not penetrating the semiconductor substrate;
Forming a first insulating film having a thickness not filling the hole on the side wall and bottom of the hole;
Filling the hole covered with the first insulating film with a metal as a metal plug;
Retreating the semiconductor substrate from the backside of the semiconductor substrate until the first insulating film at the bottom of the hole is exposed;
Selectively etching the semiconductor substrate on the bottom side of the hole until the first insulating film on the sidewall of the hole is exposed above the first insulating film on the bottom of the hole;
Forming a second insulating film on the entire back surface of the semiconductor substrate on the bottom side of the hole;
The first and second insulating films are retracted until the metal plug at the bottom of the hole is exposed, and the second insulating film is selectively left on the back surface of the semiconductor substrate on the bottom of the hole. And a method of forming a chip for a multichip semiconductor device.
前記孔の形成は、前記半導体基板上に形成する配線層のうち、最も融点の低い配線層を形成する前に行なうことを特徴とする請求項3に記載のマルチチップ半導体装置用チップの形成方法。4. The method of forming a chip for a multi-chip semiconductor device according to claim 3, wherein the hole is formed before forming a wiring layer having the lowest melting point among wiring layers formed on the semiconductor substrate. . 前記半導体基板の後退は、該半導体基板をウェハから切り出した後に行なうことを特徴とする請求項3に記載のマルチチップ半導体装置用チップの形成方法。4. The method of forming a chip for a multi-chip semiconductor device according to claim 3, wherein the semiconductor substrate is retracted after the semiconductor substrate is cut out from the wafer.
JP30578497A 1996-12-02 1997-11-07 Chip for multi-chip semiconductor device and method for forming the same Expired - Fee Related JP4011695B2 (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP30578497A JP4011695B2 (en) 1996-12-02 1997-11-07 Chip for multi-chip semiconductor device and method for forming the same
US09/377,486 US6809421B1 (en) 1996-12-02 1999-08-20 Multichip semiconductor device, chip therefor and method of formation thereof
US10/902,391 US7335517B2 (en) 1996-12-02 2004-07-30 Multichip semiconductor device, chip therefor and method of formation thereof
US11/980,641 US7829975B2 (en) 1996-12-02 2007-10-31 Multichip semiconductor device, chip therefor and method of formation thereof
US12/926,104 US8174093B2 (en) 1996-12-02 2010-10-26 Multichip semiconductor device, chip therefor and method of formation thereof
US13/067,180 US8283755B2 (en) 1996-12-02 2011-05-13 Multichip semiconductor device, chip therefor and method of formation thereof

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP32193196 1996-12-02
JP8-321931 1996-12-02
JP30578497A JP4011695B2 (en) 1996-12-02 1997-11-07 Chip for multi-chip semiconductor device and method for forming the same

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2007122807A Division JP2007201512A (en) 1996-12-02 2007-05-07 Multi-chip semiconductor device, chip for multi-chip semiconductor device, and method of forming same

Publications (2)

Publication Number Publication Date
JPH10223833A JPH10223833A (en) 1998-08-21
JP4011695B2 true JP4011695B2 (en) 2007-11-21

Family

ID=26564457

Family Applications (1)

Application Number Title Priority Date Filing Date
JP30578497A Expired - Fee Related JP4011695B2 (en) 1996-12-02 1997-11-07 Chip for multi-chip semiconductor device and method for forming the same

Country Status (1)

Country Link
JP (1) JP4011695B2 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8421238B2 (en) 2009-03-27 2013-04-16 Panasonic Corporation Stacked semiconductor device with through via

Families Citing this family (92)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007201512A (en) * 1996-12-02 2007-08-09 Toshiba Corp Multi-chip semiconductor device, chip for multi-chip semiconductor device, and method of forming same
US6809421B1 (en) 1996-12-02 2004-10-26 Kabushiki Kaisha Toshiba Multichip semiconductor device, chip therefor and method of formation thereof
US6222276B1 (en) * 1998-04-07 2001-04-24 International Business Machines Corporation Through-chip conductors for low inductance chip-to-chip integration and off-chip connections
US6235624B1 (en) 1998-06-01 2001-05-22 Kabushiki Kaisha Toshiba Paste connection plug, burying method, and semiconductor device manufacturing method
JP3563604B2 (en) 1998-07-29 2004-09-08 株式会社東芝 Multi-chip semiconductor device and memory card
JP2000196014A (en) * 1998-12-25 2000-07-14 Texas Instr Japan Ltd Semiconductor chip and semiconductor device mounted with the semiconductor chip
JP2000243900A (en) 1999-02-23 2000-09-08 Rohm Co Ltd Semiconductor chip, semiconductor device using it, and manufacture of semiconductor chip
JP4547728B2 (en) * 1999-03-29 2010-09-22 ソニー株式会社 Semiconductor device and manufacturing method thereof
DE19928733A1 (en) * 1999-06-23 2001-01-04 Giesecke & Devrient Gmbh Semiconductor memory chip module
KR100338929B1 (en) * 1999-09-27 2002-05-30 박종섭 Stacked micro ball grid array package and manufacturing method thereof
JP2001127243A (en) * 1999-10-26 2001-05-11 Sharp Corp Laminated semiconductor device
JP3879816B2 (en) * 2000-06-02 2007-02-14 セイコーエプソン株式会社 SEMICONDUCTOR DEVICE AND ITS MANUFACTURING METHOD, LAMINATED SEMICONDUCTOR DEVICE, CIRCUIT BOARD AND ELECTRONIC DEVICE
JP4329235B2 (en) 2000-06-27 2009-09-09 セイコーエプソン株式会社 Semiconductor device and manufacturing method thereof
JP4023076B2 (en) 2000-07-27 2007-12-19 富士通株式会社 Front and back conductive substrate and manufacturing method thereof
SG136795A1 (en) * 2000-09-14 2007-11-29 Semiconductor Energy Lab Semiconductor device and manufacturing method thereof
JP5121103B2 (en) * 2000-09-14 2013-01-16 株式会社半導体エネルギー研究所 Semiconductor device, method for manufacturing semiconductor device, and electric appliance
JP2002176137A (en) 2000-09-28 2002-06-21 Toshiba Corp Laminated semiconductor device
TW503531B (en) * 2000-09-28 2002-09-21 Toshiba Corp Multi-layered semiconductor apparatus
JP3535461B2 (en) 2001-01-10 2004-06-07 新光電気工業株式会社 Semiconductor device manufacturing method and semiconductor device
JP4649745B2 (en) 2001-02-01 2011-03-16 ソニー株式会社 Light-emitting element transfer method
KR100434201B1 (en) * 2001-06-15 2004-06-04 동부전자 주식회사 Semiconductor package and fabrication method
JP4982932B2 (en) * 2001-09-03 2012-07-25 ソニー株式会社 Manufacturing method of image display device
JP2005504445A (en) * 2001-10-01 2005-02-10 エグシル テクノロジー リミテッド Processing of substrates, especially semiconductor wafers
US6867501B2 (en) 2001-11-01 2005-03-15 Rohm Co., Ltd. Semiconductor device and method for manufacturing same
US6599778B2 (en) 2001-12-19 2003-07-29 International Business Machines Corporation Chip and wafer integration process using vertical connections
JP4190211B2 (en) * 2002-06-05 2008-12-03 株式会社東京精密 Substrate processing method and substrate processing apparatus
JP4022180B2 (en) * 2002-07-11 2007-12-12 大日本印刷株式会社 Manufacturing method of multilayer wiring board
JP4554368B2 (en) * 2002-09-24 2010-09-29 浜松ホトニクス株式会社 Photodiode array and manufacturing method thereof
JP2004128063A (en) 2002-09-30 2004-04-22 Toshiba Corp Semiconductor device and its manufacturing method
JP3908146B2 (en) 2002-10-28 2007-04-25 シャープ株式会社 Semiconductor device and stacked semiconductor device
JP3908148B2 (en) * 2002-10-28 2007-04-25 シャープ株式会社 Multilayer semiconductor device
WO2004064159A1 (en) 2003-01-15 2004-07-29 Fujitsu Limited Semiconductor device, three-dimensional mounting semiconductor apparatus, method for manufacturing semiconductor device
JP4072677B2 (en) 2003-01-15 2008-04-09 セイコーエプソン株式会社 Semiconductor chip, semiconductor wafer, semiconductor device and manufacturing method thereof, circuit board, and electronic equipment
JP3696208B2 (en) 2003-01-22 2005-09-14 株式会社東芝 Semiconductor device
JP4537753B2 (en) * 2003-06-10 2010-09-08 大日本印刷株式会社 Multilayer wiring board and manufacturing method thereof
JP4098673B2 (en) 2003-06-19 2008-06-11 新光電気工業株式会社 Manufacturing method of semiconductor package
JP3646720B2 (en) * 2003-06-19 2005-05-11 セイコーエプソン株式会社 Semiconductor device and manufacturing method thereof, circuit board, and electronic apparatus
KR100537892B1 (en) 2003-08-26 2005-12-21 삼성전자주식회사 Chip stack package and manufacturing method thereof
US7345350B2 (en) * 2003-09-23 2008-03-18 Micron Technology, Inc. Process and integration scheme for fabricating conductive components, through-vias and semiconductor components including conductive through-wafer vias
JP4340517B2 (en) * 2003-10-30 2009-10-07 Okiセミコンダクタ株式会社 Semiconductor device and manufacturing method thereof
KR100621992B1 (en) 2003-11-19 2006-09-13 삼성전자주식회사 structure and method of wafer level stack for devices of different kind and system-in-package using the same
JP3990347B2 (en) 2003-12-04 2007-10-10 ローム株式会社 Semiconductor chip, manufacturing method thereof, and semiconductor device
JP4408713B2 (en) 2004-02-03 2010-02-03 Necエレクトロニクス株式会社 Manufacturing method of semiconductor device
JP4800585B2 (en) * 2004-03-30 2011-10-26 ルネサスエレクトロニクス株式会社 Manufacturing method of through electrode, manufacturing method of silicon spacer
JP2006019455A (en) 2004-06-30 2006-01-19 Nec Electronics Corp Semiconductor device and manufacturing method thereof
JP4568039B2 (en) 2004-06-30 2010-10-27 ルネサスエレクトロニクス株式会社 Semiconductor device and semiconductor module using the same
JP4365750B2 (en) * 2004-08-20 2009-11-18 ローム株式会社 Semiconductor chip manufacturing method and semiconductor device manufacturing method
WO2006019156A1 (en) * 2004-08-20 2006-02-23 Zycube Co., Ltd. Method for manufacturing semiconductor device having three-dimensional multilayer structure
JP4387269B2 (en) * 2004-08-23 2009-12-16 株式会社テクニスコ Glass substrate with vias and method for forming vias
US7491582B2 (en) 2004-08-31 2009-02-17 Seiko Epson Corporation Method for manufacturing semiconductor device and semiconductor device
WO2006059589A1 (en) 2004-11-30 2006-06-08 Kyushu Institute Of Technology Packaged stacked semiconductor device and method for manufacturing same
JP4016984B2 (en) 2004-12-21 2007-12-05 セイコーエプソン株式会社 Semiconductor device, semiconductor device manufacturing method, circuit board, and electronic device
JP4063277B2 (en) * 2004-12-21 2008-03-19 セイコーエプソン株式会社 Manufacturing method of semiconductor device
KR100650728B1 (en) 2004-12-24 2006-11-27 주식회사 하이닉스반도체 stacked package and method for manufacturing the same
US8278738B2 (en) 2005-02-17 2012-10-02 Sharp Kabushiki Kaisha Method of producing semiconductor device and semiconductor device
JP4426482B2 (en) 2005-02-28 2010-03-03 Okiセミコンダクタ株式会社 Package base, method for manufacturing the same, and semiconductor package including the package base
JP4688526B2 (en) 2005-03-03 2011-05-25 Okiセミコンダクタ株式会社 Semiconductor device and manufacturing method thereof
JP4199206B2 (en) * 2005-03-18 2008-12-17 シャープ株式会社 Manufacturing method of semiconductor device
JP4456027B2 (en) 2005-03-25 2010-04-28 Okiセミコンダクタ株式会社 Method for manufacturing through conductor
US7358616B2 (en) * 2005-09-14 2008-04-15 Freescale Semiconductor, Inc. Semiconductor stacked die/wafer configuration and packaging and method thereof
JP2007115922A (en) * 2005-10-20 2007-05-10 Nec Electronics Corp Semiconductor device
KR100753415B1 (en) 2006-03-17 2007-08-30 주식회사 하이닉스반도체 Stack package
JP5003067B2 (en) * 2006-09-06 2012-08-15 住友ベークライト株式会社 Semiconductor device and manufacturing method thereof
WO2008035270A2 (en) * 2006-09-18 2008-03-27 Nxp B.V. Method of manufacturing a vertical contact in a semiconductor substrate
JP4961185B2 (en) * 2006-09-28 2012-06-27 株式会社日立製作所 Manufacturing method of semiconductor device
KR100843213B1 (en) 2006-12-05 2008-07-02 삼성전자주식회사 High I/O semiconductor chip with the memory chip connected to processor chip by through electrode arranged in scribe lane and method for fabricating the same
JP4700642B2 (en) * 2007-03-16 2011-06-15 Okiセミコンダクタ株式会社 Semiconductor device and manufacturing method thereof
JP5242070B2 (en) * 2007-03-29 2013-07-24 株式会社フジクラ Through wiring board
JP5355863B2 (en) * 2007-04-17 2013-11-27 アプライド マテリアルズ インコーポレイテッド Three-dimensional semiconductor device manufacturing method, substrate product manufacturing method, substrate product, and three-dimensional semiconductor device
JP4799542B2 (en) 2007-12-27 2011-10-26 株式会社東芝 Semiconductor package
JP2008182264A (en) * 2008-03-18 2008-08-07 Matsushita Electric Ind Co Ltd Semiconductor device, manufacturing and inspection methods therefor
US8138577B2 (en) * 2008-03-27 2012-03-20 Hong Kong Applied Science And Technology Research Institute Co., Ltd. Pulse-laser bonding method for through-silicon-via based stacking of electronic components
US7851346B2 (en) 2008-07-21 2010-12-14 Taiwan Semiconductor Manufacturing Company, Ltd. Bonding metallurgy for three-dimensional interconnect
JP5298762B2 (en) * 2008-10-21 2013-09-25 株式会社ニコン Stacked semiconductor device, manufacturing method of stacked semiconductor device, and semiconductor substrate
JP4696152B2 (en) * 2008-11-10 2011-06-08 株式会社日立製作所 Semiconductor device manufacturing method and semiconductor device
EP2194574B1 (en) * 2008-12-02 2018-11-07 IMEC vzw Method for producing interconnect structures for integrated circuits
JP5424632B2 (en) * 2008-12-19 2014-02-26 キヤノン株式会社 Manufacturing method of substrate for ink jet recording head
JP2010251558A (en) 2009-04-16 2010-11-04 Toshiba Corp Solid-state imaging device
JP4987928B2 (en) 2009-09-24 2012-08-01 株式会社東芝 Manufacturing method of semiconductor device
KR101585216B1 (en) 2009-10-28 2016-01-13 삼성전자주식회사 Semiconductor chip and wafer stack package using the same and method of manufacturing the same
JP5295932B2 (en) * 2009-11-02 2013-09-18 新光電気工業株式会社 Semiconductor package, evaluation method thereof, and manufacturing method thereof
KR101300587B1 (en) * 2009-12-09 2013-08-28 한국전자통신연구원 Method for forming semiconductor device
FR2953992B1 (en) * 2009-12-15 2012-05-18 Commissariat Energie Atomique IMPLEMENTING TSV INTERCONNECTION STRUCTURES FORMED OF AN INSULATING CONTOUR AND A CONDUCTIVE ZONE LOCATED IN THE CONTOUR AND DISJOINTE OF THE CONTOUR
JP2011249562A (en) * 2010-05-27 2011-12-08 Panasonic Corp Semiconductor apparatus and manufacturing method thereof
KR101692434B1 (en) * 2010-06-28 2017-01-18 삼성전자주식회사 Semiconductor device and method of manufacturing the same
JP5710918B2 (en) * 2010-09-13 2015-04-30 株式会社半導体エネルギー研究所 Semiconductor device and manufacturing method thereof
JP2012209545A (en) * 2011-03-17 2012-10-25 Sekisui Chem Co Ltd Manufacturing method of semiconductor laminate
JP5678840B2 (en) * 2011-08-18 2015-03-04 富士通セミコンダクター株式会社 Semiconductor device
JP5814959B2 (en) 2013-02-21 2015-11-17 株式会社東芝 Semiconductor device and manufacturing method thereof
JP6585526B2 (en) * 2015-03-10 2019-10-02 Sppテクノロジーズ株式会社 Wiring board manufacturing method
JP6468071B2 (en) * 2015-05-25 2019-02-13 富士通株式会社 Semiconductor device, electronic device, and method of manufacturing semiconductor device
KR102467030B1 (en) * 2018-01-17 2022-11-14 삼성전자주식회사 Semiconductor package and semiconductor apparatus comprising the same

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8421238B2 (en) 2009-03-27 2013-04-16 Panasonic Corporation Stacked semiconductor device with through via

Also Published As

Publication number Publication date
JPH10223833A (en) 1998-08-21

Similar Documents

Publication Publication Date Title
JP4011695B2 (en) Chip for multi-chip semiconductor device and method for forming the same
US6809421B1 (en) Multichip semiconductor device, chip therefor and method of formation thereof
TWI297206B (en) Semiconductor device and fabrication method thereof
JP3767398B2 (en) Semiconductor device and manufacturing method thereof
CN1976014B (en) Semiconductor device and its production method
JP4139803B2 (en) Manufacturing method of semiconductor device
US5567981A (en) Bonding pad structure having an interposed rigid layer
EP1489658B1 (en) Method for manufacturing semiconductor package
JP3188217B2 (en) Method for manufacturing semiconductor integrated circuit device having discontinuous insulating layer region
JPWO2004064159A1 (en) Semiconductor device, three-dimensional mounting semiconductor device, and method for manufacturing semiconductor device
JP2001015403A (en) Semiconductor device
US8710650B2 (en) Semiconductor devices having through electrodes and methods of fabricating the same
JP3673094B2 (en) Multi-chip semiconductor device
US11562976B2 (en) Semiconductor device and manufacturing method thereof
TWI344685B (en) An integrated circuit device and a process for forming the same
JP4170313B2 (en) Manufacturing method of semiconductor device
JP2964999B2 (en) Semiconductor device and manufacturing method thereof
US6838769B1 (en) Dual damascene bond pad structure for lowering stress and allowing circuitry under pads
JP2007201512A (en) Multi-chip semiconductor device, chip for multi-chip semiconductor device, and method of forming same
JP2002110897A (en) Semiconductor device and its manufacturing method
JP2006041512A (en) Method of manufacturing integrated-circuit chip for multi-chip package, and wafer and chip formed by the method thereof
JP3395747B2 (en) Manufacturing method of semiconductor integrated circuit
KR100787547B1 (en) Semiconductor device, three-dimensional mounting semiconductor apparatus, method for manufacturing semiconductor device
JP2004228598A (en) Multi-chip semiconductor device
JP2022102371A (en) Semiconductor device and method of manufacturing the same

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20041108

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20060922

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060926

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20061127

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070306

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20070507

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20070904

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20070906

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20100914

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110914

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110914

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120914

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120914

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130914

Year of fee payment: 6

LAPS Cancellation because of no payment of annual fees