JP3939698B2 - 埋込み固定論理回路をサポートする相互接続ロジックを有するプログラマブルゲートアレイ - Google Patents

埋込み固定論理回路をサポートする相互接続ロジックを有するプログラマブルゲートアレイ Download PDF

Info

Publication number
JP3939698B2
JP3939698B2 JP2003533144A JP2003533144A JP3939698B2 JP 3939698 B2 JP3939698 B2 JP 3939698B2 JP 2003533144 A JP2003533144 A JP 2003533144A JP 2003533144 A JP2003533144 A JP 2003533144A JP 3939698 B2 JP3939698 B2 JP 3939698B2
Authority
JP
Japan
Prior art keywords
logic
programmable
gate array
programmable gate
interconnect
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
JP2003533144A
Other languages
English (en)
Other versions
JP2005512359A (ja
Inventor
ダグラス,スティーブン・エム
ヤング,スティーブン・ピィ
ヘロン,ニゲル・ジィ
バシ,メユール・アール
ソワーズ,ジェーン・ダブリュ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Xilinx Inc
Original Assignee
Xilinx Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Xilinx Inc filed Critical Xilinx Inc
Publication of JP2005512359A publication Critical patent/JP2005512359A/ja
Application granted granted Critical
Publication of JP3939698B2 publication Critical patent/JP3939698B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F15/00Digital computers in general; Data processing equipment in general
    • G06F15/76Architectures of general purpose stored program computers
    • G06F15/78Architectures of general purpose stored program computers comprising a single central processing unit
    • G06F15/7867Architectures of general purpose stored program computers comprising a single central processing unit with reconfigurable architecture
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02DCLIMATE CHANGE MITIGATION TECHNOLOGIES IN INFORMATION AND COMMUNICATION TECHNOLOGIES [ICT], I.E. INFORMATION AND COMMUNICATION TECHNOLOGIES AIMING AT THE REDUCTION OF THEIR OWN ENERGY USE
    • Y02D10/00Energy efficient computing, e.g. low power processors, power management or thermal management

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Theoretical Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Logic Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Description

【技術分野】
【0001】
発明の技術分野
この発明は一般的にプログラマブルゲートアレイに関し、特定的には、上記プログラマブルゲートアレイ内における固定の論理回路の埋込みに関する。
【背景技術】
【0002】
発明の背景
プログラマブルデバイスとは、多種多様の用途に合わせて構成され得る多目的の集積回路の一種である。このようなプログラマブルデバイスには2つの基本的な種類があり、すなわち製造業者によってのみプログラムされるマスクプログラマブルデバイスと、エンドユーザによってプログラム可能なフィールドプログラマブルデバイスとである。加えて、プログラマブルデバイスはさらに、プログラマブルメモリデバイスまたはプログラマブルロジックデバイスに分類され得る。プログラマブルメモリデバイスには、プログラマブル読出専用メモリ(PROM)、消去プログラム可能読出専用メモリ(EPROM)および電気的消去プログラム可能読出専用メモリ(EEPROM)が含まれる。プログラマブルロジックデバイスには、プログラマブルロジックアレイ(PLA)デバイス、プログラマブルアレイロジック(PAL)デバイス、消去プログラム可能ロジックデバイス(EPLD)、およびプログラマブルゲートアレイ(PGA)が含まれる。
【0003】
フィールドプログラマブルゲートアレイ(FPGA)は、電気通信用途、インターネット用途、スイッチング用途、ルーティング用途、およびその他さまざまなエンドユーザ用途において極めて広く普及している。図1は、フィールドプログラマブルゲートアレイ(FPGA)10の一般化した概略的ブロック図を示す。FPGA10はプログラム可能ロジック構造12(プログラム可能ロジックゲートおよびプログラム可能相互接続を含む)およびプログラム可能入力/出力ブロック14を含む。プログラム可能入力/出力ブロック14はFPGA10を支持する基板上に作製され、集積回路のピンに結合されて、ユーザがプログラム可能ロジック構造12にアクセスできるようにする。プログラム可能ロジック構造12は、特定のエンドユーザ用途に対応する多種多様な機能を実行するようにプログラムされ得る。プログラム可能ロジック構造12はさまざまな態様で実現され得る。たとえばプログラム可能ロジック構造12は対称型アレイ構成、行ベース構成、列ベース構成、シーオブゲート(sea-of-gates)構成、または階層型プログラム可能ロジックデバイス構成で実現され得る。
【0004】
図2は、対称型アレイ構成に従って実現されたプログラム可能ロジック構造12を例示する。ここに示すように、複数のロジックブロック16が行および列のアレイとして構成されている。この複数のロジックブロック16の各々は、特定の論理機能を実行するようにエンドユーザによってプログラム可能である。より複雑な論理機能を得るために、複数のプログラム可能相互接続18を用いて、個々にプログラムされたロジックブロックを相互接続できる。こうして、各行および各列のロジックブロックの各々の間にプログラム可能相互接続18が存在する。
【0005】
プログラム可能相互接続18は、ロジックブロック16のアレイのロジックブロック間で、さらにはロジックブロックとプログラム可能入力/出力ブロック14との間で選択的な接続を可能にする。プログラム可能相互接続18はあらゆるプログラム可能な要素を用いて実現され得るが、これには静的RAMセル技術、ヒューズおよび/またはアンチヒューズセル技術、EPROMトランジスタ技術、および/またはEEPROMトランジスタ
技術が含まれる。FPGAが静的RAMプログラム可能接続を利用する場合、静的RAMセルによって制御されるパストランジスタ、伝送ゲートおよび/またはマルチプレクサを含むさまざまな構成要素を用いて接続を行なうことができる。FPGAがアンチヒューズ相互接続を利用する場合、典型的に相互接続は高インピーダンス状態に存在し、これを再プログラムして低インピーダンス状態またはヒューズ状態にすることで選択的な接続を行なうことができる。FPGAがEPROMまたはEEPROMベースの相互接続を利用する場合、相互接続セルは再プログラム可能であるため、FPGAを再構成することが可能である。
【0006】
図3は、行ベースの構成として実現されたプログラム可能ロジック構造12の概略的ブロック図を例示する。この構成では、プログラム可能ロジック構造12は行として配置された複数のロジックブロック16を含む。ロジックブロックの各行間にはプログラム可能相互接続18がある。この相互接続はどのようなプログラム可能記憶要素を用いても実現可能であり、これにはRAM(静的RAM、動的RAMおよびNVRAM)、ヒューズおよび/またはアンチヒューズ技術、EPROM技術、および/またはEEPROM技術が含まれる。
【0007】
図4は、列ベースの構成として実現されたプログラム可能ロジック構造12の概略的ブロック図を示す。図3と図4とに示すロジックブロック16およびプログラム可能相互接続18は実質的に同様のものである。
【0008】
図5は、階層型プログラム可能ロジックデバイスとして実現されたプログラム可能ロジック構造12を例示する。この実現例では、プログラム可能ロジック構造12はプログラム可能ロジックデバイスブロック22およびプログラム可能相互接続18を含む。ここに示すように、4つのプログラム可能ロジックブロックデバイス22が四隅にあり、相互接続ブロック18がこれらロジックデバイスブロックの真中にある。さらに、この相互接続は、プログラム可能ロジックデバイスブロック22を相互接続ブロック18と結合するラインを含む。
【発明の開示】
【発明が解決しようとする課題】
【0009】
公知のように、フィールドプログラマブルゲートアレイでは、エンドユーザは特定用途向け集積回路(ASIC)の最初にかかる費用、時間的遅延、および内在的リスクを回避しながらカスタム集積回路を柔軟に実現することができる。FPGAにはこれらの利点があるが、いくつかの欠点もある。たとえば、ASICにおいて実現されたのと同様の機能を実行するようにプログラムされたFPGAでは、ASICよりも大きなダイ面積が必要になることがある。さらに場合によっては、FPGAを用いた設計の性能は、ASICを用いて実現した設計の性能よりも低くなることがある。
【0010】
これらの不都合を緩和する1つのやり方は、或る共通に使用される複合的な機能を固定的な論理回路としてFPGA内に埋込むことである。したがって、埋込まれた固定論理回路を含みながらプログラム可能構成要素を維持したプログラマブルゲートアレイが必要とされている。
【課題を解決するための手段】
【0011】
好ましい実施例の詳細な説明
この発明は一般的に、プログラマブルゲートアレイのプログラム可能ロジック構造と埋込み固定論理回路をインターフェイスする相互接続ロジックを提供する。この相互接続ロジックによって、任意の固定論理回路(たとえばデジタル信号プロセッサ、マイクロプロセッサ、物理層インターフェイス、リンク層インターフェイス、ネットワーク層インター
フェイス、音声プロセッサ、映像グラフィックプロセッサ、および/または特定用途向け集積回路)を、プログラマブルゲートアレイのプログラム可能ロジック構造内に埋込むことが可能となる。加えて、固定論理回路とプログラム可能ロジック構造との接続を相互接続ロジックで行なうことにより、固定論理回路をプログラム可能ロジック構造内の任意の他のブロックと接続することができる。
【0012】
相互接続ロジックは相互接続タイルを含み、さらにインターフェイスロジックを含み得る。この相互接続タイルによって、固定論理回路の入力および/または出力と、プログラム可能ロジック構造の相互接続との間でプログラム可能に接続を行なうことができる。インターフェイスロジックを含める場合は、これは固定論理回路とプログラム可能ロジック構造との間の信号を条件付ける。信号の条件付けには、データフォーマットの変更、並直列変換、直並列変換、多重化、デマルチプレクス、論理機能の実行、および/または信号生成の制御などが含まれ得る。このような相互接続ロジックによって、任意の固定論理回路をプログラマブルゲートアレイ内に容易に埋込み、追加的な機能をFPGAのエンドユーザに提供することが可能となる。
【発明を実施するための最良の形態】
【0013】
この発明は図6〜18を参照することでより詳細に記載され得る。図6はプログラマブルゲートアレイ30のブロック図を例示する。プログラマブルゲートアレイはフィールドプログラマブルゲートアレイであっても、マスクプログラマブルゲートアレイであってもよい。加えて、プログラマブルゲートアレイは、プログラム可能ロジックデバイス機能、プログラマブルアレイ論理機能、プログラマブルロジックアレイなどを含み得る。プログラマブルゲートアレイ30は、プログラム可能ロジック構造12、プログラム可能入力/出力ブロック14、相互接続ロジック34、および固定論理回路32を含む。
【0014】
固定論理回路32は、デジタル信号プロセッサ、マイクロプロセッサ、物理層インターフェイス、リンク層インターフェイス、ネットワーク層インターフェイス、ネットワークプロセッサ、音声プロセッサ、映像グラフィックプロセッサ、論理回路、および/または特定用途向け集積回路などあらゆる論理機能を含むことができ、少なくとも1つの入力と少なくとも1つの出力とを含む。典型的に、固定論理回路32は、入力/出力ポート36,38,40,42で表わされる複数の入力および複数の出力を含む。入力/出力ポート36〜42は相互接続ロジック34と動作可能に結合され、これにより、固定論理回路32の入力/出力ポートと、プログラマブルゲートアレイ30のプログラム可能ロジック構造12との間で、さらには相互接続ロジック内のさまざまな論理機能間で接続を行なう。なお、プログラマブルゲートアレイ30内には2つ以上の固定論理回路を含めることもできる。
【0015】
プログラム可能ロジック構造12は複数の構成可能ロジックブロック(CLB)およびプログラム可能相互接続を含む。プログラム可能ロジック構造のアーキテクチャは、行または列ベース、階層型PLD、対称型アレイ、および/またはシーオブゲートであり得る。構成可能ロジックブロックは、ザイリンクス・インコーポレイテッド(Xilinx, Inc.)が製造および流通を行なうXC4000EファミリーのFPGA、バーテックス(Virtex)および/またはバーテックスII FPGAで見られる種類のものであり得る。相互接続は、静的RAMセル技術、ヒューズおよび/またはアンチヒューズセル技術、EPROMトランジスタ技術、EEPROMトランジスタ技術および/またはその他任意のプログラマブル技術を利用した複数のプログラム可能スイッチマトリクスを含み得る。スイッチマトリクスは、ザイリンクス・インコーポレイテッドが製造および流通を行なうXC4000EファミリーのFPGA、バーテックスおよび/またはバーテックスII FPGAに見られる種類のものであり得る。プログラム可能I/Oブロック14もやはり、ザイリンクス・インコーポレイテッドが設計および製造を行なうXC4000EファミリーのF
PGA、バーテックスおよび/またはバーテックスII FPGAに見られる種類のものであり得る。
【0016】
プログラマブルゲートアレイ30は集積回路として実現され得る。一実施例では、これら要素12,14,32,34の各々についての回路は、CMOS技術を用いてシリコン基板上で実現される。しかしながら、当業者であれば理解するであろうように、その他の集積回路技術および基板組成を用いてもよい。
【0017】
動作については、相互接続ロジック34はプログラム可能ロジック構造12と固定論理回路32との結合を行なう。したがって、プログラマブルゲートアレイ30のエンドユーザは、固定論理回路32をプログラム可能ロジック構造12の構成要素として扱いながらPGA30をプログラムできる。たとえば、固定論理回路32がマイクロプロセッサである場合、相互接続ロジック34は、マイクロプロセッサのためのプログラミング命令および/またはデータを記憶するためのメモリを含むことがあり、さらにPGA30における他のブロックとインターフェイスするための論理機能(たとえばメモリコントローラ)を含み得る。こうして、プログラム可能ロジック構造12をプログラムして、マイクロプロセッサの固定ロジック機能との組合せで所望の機能を実行する。このようにマイクロプロセッサを埋込むことにより、プログラマブルゲートアレイ30は特化されたマイクロプロセッサの処理効率を伴ってFPGAの柔軟性を提供する。加えて、2つの別個の集積回路(マイクロプロセッサに1つ、FPGAに1つ)を設ける代わりに、マイクロプロセッサをプログラム可能ロジック構造内に埋込むことによって、消費電力が低減され性能が向上するが、これは2つの別個の集積回路間の相互接続ピンおよびトレースがなくなったことによる。他の利点としては、マルチプロセッサ設計をより容易に実現できること、および、より広範囲にわたるシステムレベル設計(たとえば外部メモリのないプロセッサシステム)に対応できることがある。さらに、プログラマブルゲートアレイ30において、FPGAおよびマイクロプロセッサが印刷回路基板で占める必要面積は、集積回路が別々にある場合よりも小さくなる。
【0018】
図7は、代替的なプログラマブルゲートアレイ50の説明図を示す。プログラマブルゲートアレイ50は、プログラム可能ロジック構造12、プログラム可能入力/出力ブロック14、第1の固定論理回路32、第1の相互接続ロジック34、第2の固定論理回路52および第2の相互接続ロジック54を含む。ここに例示する図では、相互接続ロジック34および固定論理回路32は、図6を参照して説明したのとほぼ同様である。
【0019】
第2の固定論理回路52は、デジタル信号プロセッサ、マイクロプロセッサ、物理層インターフェイス、リンク層インターフェイス、ネットワーク層インターフェイス、音声プロセッサ、映像グラフィックプロセッサ、論理回路および/または特定用途向け集積回路など任意の論理機能を含み得る。第2の固定論理回路52は複数の入力/出力ポート56,58,60,62を含み、こうしてこれを第2の相互接続ロジック54とインターフェイスできる。第2の相互接続ロジック54は、第2の固定論理回路52とプログラム可能ロジック構造12との間で接続を行なう。
【0020】
図8は、別のプログラマブルゲートアレイ70の説明図を示す。プログラマブルゲートアレイ70は、プログラム可能ロジック構造12、プログラム可能入力/出力ブロック14、および4つの固定論理回路32,52,72,76を含む。各々の固定論理回路の構造は図7に示す固定論理回路と同様である(なお、図面サイズの制約のため、各々の固定論理回路のI/Oは示さない)。各々の固定論理回路32,52,72,76はそれぞれ、対応する自身の相互接続ロジック34,54,74,78を有する。相互接続ロジック34,54,74,78は、プログラム可能ロジック構造12へのそれぞれの固定論理回路の接続を行なう。
【0021】
相互接続ロジック34,54,74,78の構造は、これが支援する固定論理回路の種類に依存することになる。たとえば、固定論理回路が単純な固定論理機能、たとえば状態機械、または特定の論理機能を行なうための組合せ論理回路であれば、相互接続ロジック34,54,74および/または78は相互接続タイルを含むことになる。相互接続タイルについては図9〜11を参照してより詳細に説明する。しかし固定論理回路がもっと複雑なもの、たとえばデジタル信号プロセッサ、マイクロプロセッサ、物理層インターフェイス、リンク層インターフェイス、ネットワーク層インターフェイス、音声プロセッサ、映像グラフィックプロセッサ、ネットワークプロセッサ、および/または特定用途向け集積回路などであれば、相互接続ロジック34,54,74および/または78は、複数の相互接続タイルおよび(任意には)インターフェイスロジックを含み得る。インターフェイスロジックについては図9および図10を参照してより詳細に説明する。
【0022】
図9は、図6のプログラマブルゲートアレイ30の一部のより詳細な説明図を示す。図9は図6のPGA30を参照して示されるが、相互接続ロジック34に関する概念は、図7の相互接続ロジック54および図8の相互接続ロジック54,74,78にも等しく適用可能である。当業者であれば理解するであろうように、プログラム可能ロジック構造内には、相互接続ロジックを用いて任意の数の固定論理回路を埋込むことが可能である。
【0023】
図9に示すように、プログラム可能ロジック構造12は、複数の構成可能ロジックブロック(CLB)80、複数のメモリブロック(ブロックRAM)90、および複数の乗算器92を含む。プログラム可能I/Oブロック部14は複数の個々のI/Oブロック(IOB)86および複数のデジタルクロックマネージャ(DCM)84を含む。構成可能ロジックブロック80、デジタルクロックマネージャ84、入力/出力ブロック86、ブロックRAM90、および乗算器92の動作は、ザイリンクス・インコーポレイテッドが設計および製造を行なうXC4000Eファミリーのフィールドプログラマブルゲートアレイ、バーテックスおよび/またはバーテックスIIフィールドプログラマブルゲートアレイで見られる対応の構成要素と同様に機能する。
【0024】
ここに示すように、構成可能ロジックブロック80、ブロックRAM90および乗算器92は、一連の行および列に配置される。プログラム可能ロジック構造12の構成要素のいくつかは固定論理回路32で置換えられており、同時にこれはプログラム可能ロジック構造のその他の構成要素と統合することができる。プログラム可能ロジック構造のいくらかが置換えられているため、FPGAの標準的な動作は中断されることになる。この中断は、複数の構成可能ロジックブロック80、ブロックRAM90および乗算器92間の接続が不連続であることの結果として生じる。この発明の一局面は、固定論理回路32をプログラム可能ロジック構造12内へ、接続を不連続にせずに完全に統合することを可能にするアーキテクチャである。
【0025】
FPGA30では、各々のCLB80、IOB86、ブロックRAM90、および乗算器92は、複数のプログラム可能スイッチマトリクスのうち少なくとも1つと関連付けられる。この複数のプログラム可能スイッチマトリクスによって、プログラム可能ロジック構造全体にわたって選択的な接続を行なう。
【0026】
固定論理回路32および相互接続ロジック34を挿入することで、プログラム可能ロジック構造の接続パターンが中断される。この発明では、複数の相互接続タイル96を用いて、(a)インターフェイスロジック94を含める場合にはこれおよび固定論理回路32と、(b)プログラム可能ロジック構造12の複数のCLB80、ブロックRAM90および/または乗算器92との間で、プログラム可能な接続を行なう。相互接続タイル96については図11を参照してより詳細に説明する。
【0027】
インターフェイスロジック94は、固定ロジック32と、プログラム可能ロジック構造12のCLB80、ブロックRAM90および/または乗算器92との間の信号転送を条件付ける。このような条件付けは、固定論理回路32の機能に依存する。たとえば、固定論理回路32がアナログ領域において映像信号および/または音声信号を処理する場合、インターフェイスロジック94はアナログ・デジタル変換器およびデジタル・アナログ変換器を含むことがあり得る。固定論理回路32がマイクロプロセッサの場合、インターフェイスロジックはFPGAとマイクロプロセッサとをインターフェイスするための信号(たとえばアドレスバス、データバスおよび/またはマイクロプロセッサの制御信号、ならびにさまざまな動作モードについてのFPGA制御信号、たとえば電源投入および構成)を生成および条件付ける。インターフェイスロジック94は、埋込み固定論理回路およびこれを取囲むプログラム可能ロジック構造をテストするためのテスト回路を含むこともある。加えて、マイクロプロセッサのハードの周辺部もまたインターフェイスロジックに含めることができる。
【0028】
図10Aは、固定論理回路の一例としてマイクロプロセッサ100をFPGA30内に埋込んだ場合の概略的なブロック図を示す。なお、この発明はあらゆる設計のプロセッサに対して適用可能であり、特定の種類のプロセッサに限定されない。当業者であれば理解するであろうように、マイクロプロセッサ100の物理的設計はさまざまな幾何学的構成を有し得る。マイクロプロセッサ100は、インターフェイスロジック94および複数の相互接続タイル96を含む相互接続ロジック34(図9に示す)によって取囲まれる。マイクロプロセッサ100はメモリコントローラ(図示せず)を通じてブロックRAM90と接続され得る。マイクロプロセッサ100がブロックRAM90と直接接続されることもある。マイクロプロセッサ100とブロックRAM90との結合を設けることで、ブロックRAM90はマイクロプロセッサ100とプログラム可能ロジック構造12とによって共有され得る。このような直接の共有によって、マイクロプロセッサにRAM90へのアクセスを与えるようにプログラム可能ロジック構造をプログラムする必要がなくなる。
【0029】
インターフェイスロジック94は、1つ以上のブロックになったロジックゲートを含み得る。これらブロックはあらゆる論理機能を実行するように設計可能であり、マイクロプロセッサ100、ブロックRAM90および相互接続タイル96と任意の態様で通信できる。図10Aでは、そのような論理機能のブロック(114)を1つだけ示す。インターフェイスロジック94はまた、1つ以上のブロックになった構成可能ロジックゲートを含み得る。このブロックはあらゆる論理機能を実行するように構成可能であり、マイクロプロセッサ100、ブロックRAM90および相互接続タイル96と任意の態様で通信できる。図10Aでは、そのような構成可能論理機能のブロック(116)を1つだけ示す。インターフェイスロジック94はさらにテストモジュール103を含むことができ、これはマイクロプロセッサ100、相互接続タイル96、および/またはインターフェイスロジック94のさまざまな部分についての製造時テストを制御する。図10Aでは、図を簡単にするためにテストモジュール103を孤立したブロックとして示すが、実際にはこれは上述の構成要素のいくつかまたはすべてと接続されることになる。制御モジュール105は、マイクロプロセッサ100、および、インターフェイスロジック94のさまざまな構成要素の動作を制御するのに使用され得る。インターフェイスロジック94はまた、マイクロプロセッサ100およびインターフェイスロジック94内の他の構成要素のためのさまざまなタイミング信号を生成するタイミングモジュール107を含み得る。タイミングモジュール107はクロック生成回路(たとえば発振器)を含み得るが、またはプログラム可能ロジック構造のクロック信号のいくつかを使用することもある。図10Aでは、制御モジュール105およびタイミングモジュール107を孤立したブロックとして示すが、これらは実際には上述の構成要素のいくつかまたはすべてと接続される。加えて、他の機能を行なうモジュールを含めることもできる。
【0030】
マイクロプロセッサ100はインターフェイスタイル96(図9に示すCLB98とプログラム可能に接続される)と直接通信することがある。マイクロプロセッサ100はまた、ロジックゲート114のブロックおよびプログラム可能ロジックゲート116のブロックを通じてインターフェイスタイル96と通信することもある。図10Aに示す接続は単方向および/または双方向であり得る。
【0031】
ブロックRAM90は、マイクロプロセッサ100のための実行可能命令コードの少なくとも一部を記憶できる。加えて、このようなメモリはマイクロプロセッサ100が処理することになるデータと、マイクロプロセッサ100が既に処理したデータとを記憶できる。メモリはマイクロプロセッサ100とプログラム可能ロジック構造12とで共有されるため、プログラム可能ロジック構造12のうち構成が行なわれる部分は、処理されることになるデータおよび/または既に処理されたデータを検索し、このデータに基づいて或る機能を実行することができる。
【0032】
なお、ブロックRAM90はマイクロプロセッサ100に対してどの位置(上、下、左または右)にあってもよい。
【0033】
インターフェイスロジックの特定の実現例を図10Bに示す。900を上回る入力/出力接続を含み得るマイクロプロセッサ100に信号を効率的に入力および出力するために、インターフェイスロジック94は複数のマルチプレクサ118,128,132,136,142,146,150および/または複数のデマルチプレクサ120,130,134,138,140,144,148を含む。マルチプレクサ118,128,132,136,142,146,150は、単一の経路を介して2つ以上の信号を伝送する、並列データを直列化する、および/または多数の入力信号のうち1つを選択するように機能する。デマルチプレクサ120,130,134,138,140,144,148は、1つの経路上の多数の信号を多数の経路上の別々の信号に分離する、または直列データを並列データに変換するように機能する。なお、マルチプレクサ/デマルチプレクサの入力および出力は多数の信号幅を有し得る(すなわちマルチプレクサの出力は2つ以上の信号を有することがあり、デマルチプレクサの入力は2つ以上の信号を有することがある)。
【0034】
ここに示すように、デマルチプレクサ134はメモリ108からのデータおよび/または命令の読出を容易にする。メモリ108は単一または複数ポートのメモリであり得る。たとえばデマルチプレクサ134は、メモリ108から直列データストリームを受取り、これを並列にしてマイクロプロセッサ100のアドレスバスインターフェイス、データバスインターフェイスおよび/または命令バスインターフェイスに与える。マルチプレクサ132はメモリ108へのデータの書込を容易にする。この例では、マルチプレクサ132はマイクロプロセッサ100から並列データを受取り、この並列データを直列データに変換してメモリ108で記憶されるようにする。当業者であれば理解するであろうように、マイクロプロセッサ100はまた、マルチプレクサ132およびデマルチプレクサ134なしに、またはこれらと組合わせて、メモリ108への1つ以上の直接の接続を有することもある。さらに当業者であれば理解するであろうように、マイクロプロセッサ100においては、これをメモリ108に結合するマルチプレクサおよびデマルチプレクサがより多数または少数であることもある。
【0035】
マルチプレクサ128によって、マイクロプロセッサ100の左側のBRAM90からの多数の信号を多重化して、メモリ108への単一のデータストリームにすることが可能となる。こうしてBRAM90からのデータおよび/または命令をマイクロプロセッサ100に届けることができる。デマルチプレクサ130によって、メモリ108からの単一
のデータストリームをデマルチプレクスして、複数の別々の信号にすることが可能となり、これら信号はBRAM90に結び付けられる。こうしてマイクロプロセッサ100はメモリ108を介してデータをBRAM90に書込むことができる。当業者であれば理解するであろうように、マルチプレクサ128はマイクロプロセッサ100への直接の結合を含むこともあり、その場合はBRAM90から検索されるデータをメモリ108に中間的に記憶させる必要はない。さらに当業者であれば理解するであろうように、デマルチプレクサ130はマイクロプロセッサ100と直接結合されることもあり、その場合にはデータを直接BRAM90に書込むことができる。当業者であればやはり理解するであろうように、マイクロプロセッサ100はBRAM90への直接の接続を有することも、またはメモリコントローラを介した接続を有することもある。
【0036】
なお、マルチプレクサ132およびデマルチプレクサ134を用いずにメモリ108をマイクロプロセッサ100に結合することもある。また、メモリ108はあらゆる幅(一般的にはマイクロプロセッサ100の幅まで)を有し得る。さらに、メモリ108は命令、データまたは両方を組合わせたものを記憶するのに使用できる。
【0037】
マルチプレクサ128,132およびデマルチプレクサ130,134がマイクロプロセッサ100の左側のBRAM90およびメモリ108へのアクセスをマイクロプロセッサ100に与えていたのと同様に、マルチプレクサ136,142およびデマルチプレクサ138,140は、右側のBRAM90およびメモリ122へのアクセスをマイクロプロセッサ100に与える。当業者であれば理解するであろうように、相互接続ロジック94が含むメモリは図示するメモリ(すなわちメモリ108およびメモリ122)よりも多数または少数であることもあり、このようなメモリはマイクロプロセッサを支援するよう任意のサイズであり得る。加えて、メモリ108,122は静的RAM、動的RAMおよび/または消去プログラム可能読出専用メモリであり得る。
【0038】
さらに図10Bに示すように、マルチプレクサ146によって、マイクロプロセッサ100と、マイクロプロセッサ100の左側にある複数の相互接続タイル96のうち1つとの直接の接続を行なう。マルチプレクサ146がこのように結合される場合、このマルチプレクサはマイクロプロセッサ100の複数のピンから並列データおよび/または複数の信号を受取る。マルチプレクサ146の機能に依存して、これら複数の信号のうち1つを選択して相互接続タイル96へ渡す、および/またはこれら複数の信号を単一の信号に多重化することが可能である。マルチプレクサ150は、マイクロプロセッサ100とマイクロプロセッサの右側の相互接続タイル96との間で同様の接続を行なう。当業者であれば理解するであろうように、相互接続ロジック94は、マイクロプロセッサ100のどちら側でも、マルチプレクサ146およびマルチプレクサ150のようなマルチプレクサをより多数または少数含み得るが、これはマイクロプロセッサ100への所望の接続、マイクロプロセッサ100の種類、および/またはマイクロプロセッサ100のサイズに依存する。
【0039】
デマルチプレクサ144によって、マイクロプロセッサ100とマイクロプロセッサ100の左側にある複数の相互接続タイル96のうち1つとの直接の接続を行なう。デマルチプレクサ144がこのように結合される場合、このデマルチプレクサは、これら複数の相互接続タイル96のうち少なくとも1つから直列データおよび/または複数の多重化信号を受取る。マルチプレクサ144の機能に依存して、複数の多重化信号を別々の経路の担う複数の信号に変換するか、または直列信号ストリームを並列信号に変換する。デマルチプレクサ148はマイクロプロセッサ100とマイクロプロセッサの右側の相互接続タイル96との間で同様の接続を行なう。当業者であれば理解するであろうように、相互接続ロジック94は、デマルチプレクサ144およびデマルチプレクサ148のようなデマルチプレクサをより多数または少数含み得るが、これはマイクロプロセッサ100への所
望の接続、マイクロプロセッサ100の種類、および/またはマイクロプロセッサ100のサイズに依存する。
【0040】
インターフェイスロジック94はさらに、マイクロプロセッサ100と1つ以上の相互接続タイル96との間の直接の接続を含み得る。このような直接の接続は、信号をマイクロプロセッサ100に入力または信号をマイクロプロセッサ100から出力するための単方向通信経路であり得る。また、このような直接の接続は、信号をマイクロプロセッサ100に入出力するための双方向通信経路であることもある。このような直接の接続をマイクロプロセッサ100の左下部分および右下部分に結合したものを示す。当業者であれば理解するであろうように、相互接続タイル96とマイクロプロセッサ100との間には、(マイクロプロセッサ100の任意の側で)図10Bに示すよりも多数または少数の直接の接続を設けることもできる。
【0041】
インターフェイスロジック94はさらにテストモジュール102を含み得る。テストモジュール102は、複数の相互接続タイル96、およびインターフェイスロジック94内の複数の回路と選択的に結合される(明瞭にするためにこのような接続は図示しない)。一般的に、テストモジュール102はマイクロプロセッサ、インターフェイスロジックおよび/またはこれを取囲むプログラム可能ロジック構造の製造時テストを制御する。
【0042】
インターフェイスロジック94はさらに制御モジュール104を含み得るが、これは複数のマルチプレクサ118,126およびデマルチプレクサ124,120と動作可能に結合される。マルチプレクサ118,126は、2つ以上の制御信号を単一の経路で伝送する、並列の制御データを直列化する、および/または多数の制御信号のうち1つを選択するように機能する。デマルチプレクサ120,124は、1つの経路上の多数の制御信号を複数の経路上の別々の制御信号に分離する、または直列制御信号を並列制御信号に変換するように機能する。マルチプレクサ118,126およびデマルチプレクサ120,124は、複数の相互接続タイル96と動作可能に結合される。制御信号がこのように結び付けられる場合、制御モジュール104へのこれら信号の入力、または制御モジュール104からの出力を、相互接続タイル96経由でプログラム可能ロジック構造および/またはIOB86まで、および制御モジュール104とマイクロプロセッサ100との間で行なうことができる。なお、制御モジュール104はマルチプレクサ/デマルチプレクサなしでマイクロプロセッサ100と直接の接続を有することもある。
【0043】
ここに例示する図では、制御モジュール104はマイクロプロセッサ100と動作可能に結合され、これは本質的にマイクロプロセッサコアである。一般的には、制御モジュール104は、マイクロプロセッサ100の動作を制御する制御信号を供給し、かつ関係する制御情報をマイクロプロセッサ100から受取る。たとえば、制御モジュール104によって、中断、クロック、リセット、電力管理のための制御機能、命令キャッシュ制御、データキャッシュ制御、直接メモリアクセス(DMA)制御、RAMメモリ制御、外部周辺バス制御、UART制御、および/または汎用I/O制御が可能となり得る。マイクロプロセッサについてのこのような制御機能は公知であるため、この発明の理解を促進する場合を除きこれ以上の議論は行なわない。
【0044】
インターフェイスロジック94はさらに、タイミング回路を含むタイミングモジュール106を含み得る。タイミング回路は、64ビット時間ベースのタイマ、プログラム可能間隔タイマ、固定間隔タイマ、およびウォッチドッグタイマを生成するといった機能を実行できる。加えて、タイミングモジュールは構成可能ロジックゲート116および/またはロジックゲート114(図10Aに示す)にクロック信号を与えて、データをこれら回路の中へ、およびここからラッチすることができる。さらに、タイミングモジュール106はマルチプレクサ、デマルチプレクサおよび相互接続タイル96の各々の中へ、および
ここからデータをラッチするタイミングを与えることができる。タイミングモジュール106はまた、相互接続タイル96から入力(たとえばチック(tick)カウンタ)を得て、かつここに出力を送ることができる。マイクロプロセッサ100とともに用いられるタイミング回路およびデバッグモジュールの機能は公知であるため、この発明の概念をさらに説明する場合を除きこれ以上の議論は行なわない。図10Aで述べたように、タイミングモジュールはインターフェイスロジック94およびマイクロプロセッサ100内のすべての構成要素と接続され得る。
【0045】
さらに当業者であれば理解するであろうように、インターフェイスロジック94は、この例ではマイクロプロセッサ100である固定ロジックデバイス32と、これを取囲み、CLB80、ブロックRAM90および乗算器92を含むプログラム可能ロジック構造12との間の信号転送を条件付ける。こうしてインターフェイスロジック94は、マイクロプロセッサの中へのおよび/またはここからの信号を多重化すること、入力信号および/または出力信号に対して論理機能を実行すること、信号を記憶すること、およびプログラム可能ロジック構造とマイクロプロセッサ100との直接の結合を行なうことを含む、さまざまな論理機能を実行できる。加えて、インターフェイスロジック94はテスト機能を含む。
【0046】
さらに当業者であれば理解するであろうように、図10Bのインターフェイスロジック94を具体化している回路は、インターフェイスロジック94を形成し得る回路のほとんど無数の組合せのうち1つを表わすものである。したがってインターフェイスロジック94が含む回路は、図10Bに示すよりも大きいことも小さいこともある。たとえばインターフェイスロジック94はさらに、アナログ・デジタル変換器、デジタル・アナログ変換器、アナログフィルタ、デジタルフィルタ、算術論理演算装置、浮動小数点ユニット、中断コントローラ、メモリコントローラ、および/またはメモリ管理ブロックを含み得る。
【0047】
さらに当業者であれば理解するであろうように、図10Bは埋込み固定論理回路としてマイクロプロセッサ100を例示しているが、インターフェイスロジック94および複数の相互接続タイル96についての同じ概念は任意の固定論理回路に適用される。たとえばマイクロプロセッサ100の代わりに、デジタル信号プロセッサ、映像グラフィックプロセッサ、音声プロセッサ、ネットワークプロセッサ、物理層インターフェイス、リンク層インターフェイス、および/またはネットワーク層インターフェイスを用いてもよい。どの種類の固定論理回路を用いるかに依存して、インターフェイスロジック94が含む回路は図10Bに示すよりも大きいことも小さいこともあるが、その機能は同じである。すなわち、固定論理回路とプログラム可能ロジック構造との間の信号転送を条件付けることである。
【0048】
図11は、周りを取囲むプログラム可能ロジック構造と動作可能に結合するいくつかの相互接続タイル96−1〜96−6の概略的なブロック図を示す。周りを取囲むプログラム可能ロジック構造は、複数の構成可能ロジック要素(CLE)80−1〜80−13、および、対応するプログラム可能スイッチマトリクス154〜188を含む。プログラム可能スイッチマトリクス間の実線は、プログラム可能ロジック構造内の接続を行なうさまざまな相互接続ラインを表わす。図11の破線は幾何学的形状を視覚化する助けとして与えたものである。この発明で使用され得るFPGAアーキテクチャの一例は、「階層型相互接続ラインによるFPGA繰返し可能相互接続構造(FPGA Repeatable Interconnect Structure with Hierarchical Interconnect Lines)」と題された米国特許第5,914,616号に記載されている。
【0049】
各々の相互接続タイルはプログラム可能スイッチマトリクスを含み、これは(a)プログラム可能ロジック構造内のプログラム可能スイッチマトリクス、(b)終端タイル、お
よび(c)隣接する相互接続タイル、とプログラム可能に接続される。図11は、それぞれ相互接続タイル96−1〜96−6にある96−1−s〜96−6−sと標示した6個のマトリクスを示す。たとえば、スイッチマトリクス96−2−sは、プログラム可能ロジック構造内のスイッチマトリクス156、終端タイルT2、および隣接する相互接続タイル96−1−s,96−3−sと接続される。同様に、スイッチマトリクス96−5−sは、プログラム可能ロジック構造内のスイッチマトリクス168、終端タイルT4、および隣接する相互接続タイル96−4−s,96−6−sと接続される。6個のプログラム可能スイッチマトリクス96−1−s〜96−6−sの各々は、マイクロプロセッサ100および/またはインターフェイスロジック94内の構成要素に接続される複数の接続(それぞれライン151−1〜151−6として示す)を含む。
【0050】
スイッチマトリクス96−1−s〜96−6−sの構造は、プログラム可能ロジック構造内のスイッチマトリクスと実質的に同じである。
【0051】
終端タイルの機能は、相互接続ラインを終結させ、かつ/または、マイクロプロセッサ100および/もしくはインターフェイスロジック94の構成要素により中断されたラインへの接続を行なうことである。一実施例(上述の米国特許第5,914,616号に記載のFPGA)では、プログラム可能ロジック構造は単一ライン、16進ラインおよび長ラインを含んでいる。終端タイルでは、単一ラインは他の単一ラインへとUターンし、16進ラインは再びバッファリングされてマイクロプロセッサ100の向こう側へとまたがり、長ラインはマイクロプロセッサ100をまたがる。
【0052】
図12は、代替的なプログラマブルゲートアレイ230の概略的なブロック図を示す。プログラマブルゲートアレイ230は、プログラム可能ロジック構造12、プログラム可能入力/出力ブロック14、固定処理モジュール234、第2の相互接続ロジック238、別の固定ロジックモジュール(たとえば高速データインターフェイス232)および第1の相互接続ロジック236を含む。第1の相互接続ロジック236および第2の相互接続ロジック238は、上述のようにインターフェイスロジックおよび相互接続タイルを含み得る。高速データインターフェイス232はネットワーク層インターフェイスたとえばTCP/IPインターフェイス、物理層インターフェイスたとえばイーサネット(R)もしくは非同期転送モード(ATM)インターフェイス、またはリンク層インターフェイスであり得る。固定処理モジュール234はデジタル信号プロセッサ、ネットワークプロセッサ、マイクロプロセッサ、および/またはマイクロコンピュータであり得るため、このプログラマブルゲートアレイによって、多種多様な電気通信、ネットワークおよび/または計算用途のための高速データインターフェイス、固定プロセッサおよびプログラマブルロジックが得られる。
【0053】
図13は、図12のゲートアレイ230の変形例を示す。図13では、ゲートアレイ240の高速データインターフェイス232は、プログラム可能入力/出力ブロック14に隣接して位置付けされる。したがって、高速データインターフェイス232はプログラム可能入力/出力ブロック14のうち少なくともいくつかと直接結合する。この構成では、第1の相互接続ロジック232は高速データインターフェイス232を部分的に取囲む。
【0054】
図14は、高速データインターフェイス232および固定処理モジュール234を含むプログラマブルゲートアレイ250のさらなる変形例を示す。この実施例では、高速データインターフェイスはプログラマブルゲートアレイの隅に位置付けられる。したがって高速データインターフェイス232は、プログラム可能入力/出力ブロック14に対し2辺で直接のアクセスを有する。これに伴い第1の相互接続ロジック236は、高速データインターフェイスの2辺とインターフェイスする。
【0055】
図12〜14から当業者には明らかであろうように、プログラマブルゲートアレイは、どのような数およびどのような種類の固定論理モジュールを有してもよく、これらモジュールは、さまざまな場所に位置付け可能であり、2辺、3辺または4辺の構成で互いに相互作用させることができる。以上の議論は、プログラム可能ロジック構造内に任意の固定論理回路を埋込むことを可能にするための相互接続ロジックを含むプログラマブルゲートアレイを提示している。こうして、このようなプログラマブルゲートアレイの用途および汎用性は、この発明を使用することで劇的に向上する。当業者であれば理解するであろうように、前掲の特許請求の範囲から逸脱することなくこの発明の教示から他の実施例を導き出すことが可能である。
【図面の簡単な説明】
【0056】
【図1】先行技術のフィールドプログラマブルゲートアレイを概略的に示すブロック図である。
【図2】図1のプログラマブルゲートアレイのプログラム可能ロジック構造であって、対称型アレイ構成で実現したものを概略的に示すブロック図である。
【図3】図1のプログラマブルゲートアレイのプログラム可能ロジック構造であって、行ベースの構成で実現したものを概略的に示すブロック図である。
【図4】図1のプログラマブルゲートアレイのプログラム可能ロジック構造であって、列ベースの構成で実現したものを概略的に示すブロック図である。
【図5】図1のプログラマブルゲートアレイのプログラム可能ロジック構造であって、階層型プログラマブルロジックデバイス構成で実現したものを概略的に示すブロック図である。
【図6】この発明に従うプログラマブルゲートアレイの説明図である。
【図7】この発明に従う代替的なプログラマブルゲートアレイの説明図である。
【図8】この発明に従う別のプログラマブルゲートアレイの説明図である。
【図9】図3のプログラマブルゲートアレイのより詳細な説明図である。
【図10A】この発明に従うインターフェイスロジックおよび相互接続タイルを概略的に示すブロック図である。
【図10B】この発明に従うインターフェイスロジックの一実施例および相互接続タイルを概略的に示すブロック図である。
【図11】この発明に従うプログラム可能ロジック構造とインターフェイスする相互接続タイルを概略的に示すブロック図である。
【図12】この発明に従うさらに別のプログラマブルゲートアレイの説明図である。
【図13】図12のプログラマブルゲートアレイの一変形例の説明図である。
【図14】図12のプログラマブルゲートアレイのさらなる変形例の説明図である。

Claims (12)

  1. プログラマブルゲートアレイであって、
    プログラム可能ロジック構造と、
    少なくとも1つの入力および少なくとも1つの出力を有する第1の固定論理回路とを備え、前記第1の固定論理回路は物理的にプログラム可能ロジック構造内に位置し、前記プログラマブルゲートアレイはさらに、
    前記第1の固定論理回路の前記少なくとも1つの入力および前記少なくとも1つの出力をプログラム可能ロジック構造に結合するように動作可能な第1の相互接続ロジックを備え、第1のインターフェイスロジックは、前記第1の固定論理回路の前記少なくとも1つの入力に接続された第1のスイッチマトリクスと、前記第1の固定論理回路の前記少なくとも1つの出力に接続された第2のスイッチマトリクスと、第1のスイッチマトリクスに接続された第1の終端タイルと、第2のスイッチマトリクスに接続された第2の終端タイルとを含む、プログラマブルゲートアレイ。
  2. 前記プログラム可能ロジック構造はさらに、
    前記第1のスイッチマトリクスおよび第1の構成可能ロジックブロックに結合された第3のスイッチマトリクスと、
    前記第2のスイッチマトリクスおよび第2の構成可能ロジックブロックに結合された第4のスイッチマトリクスとを含む、請求項1に記載のプログラマブルゲートアレイ。
  3. 前記プログラム可能ロジック構造はさらにランダムアクセスメモリを含む、請求項1に記載のプログラマブルゲートアレイ。
  4. 前記プログラム可能ロジック構造はさらに少なくとも1つの乗算器を含む、請求項1に記載のプログラマブルゲートアレイ。
  5. 前記第1の固定論理回路はさらに、デジタル信号プロセッサ、マイクロプロセッサ、物理層インターフェイス、リンク層インターフェイス、ネットワーク層インターフェイス、音声プロセッサ、映像グラフィックプロセッサ、および特定用途向け集積回路のうち少なくとも1つを含む、請求項1に記載のプログラマブルゲートアレイ。
  6. 前記第1の終端タイルは第1の単一ラインを含み、前記第1の単一ラインは第2の単一ラインへUターンする、請求項1に記載のプログラマブルゲートアレイ。
  7. 前記第1の終端タイルは、再バッファリングされて前記第1の固定論理回路の向こう側へまたがる16進ラインを含む、請求項1に記載のプログラマブルゲートアレイ。
  8. 前記第1の終端タイルは、前記第1の固定論理回路をまたがる長ラインを含む、請求項1に記載のプログラマブルゲートアレイ。
  9. さらに、
    少なくとも1つの入力および少なくとも1つの出力を有する第2の固定論理回路を備え、前記第2の固定論理回路は物理的にプログラム可能ロジック構造内に位置し、さらに、
    前記第2の固定論理回路の前記少なくとも1つの入力および前記少なくとも1つの出力をプログラム可能ロジック構造に結合するように動作可能な第2の相互接続ロジックを備え、第2のインターフェイスロジックは、前記第2の固定論理回路の前記少なくとも1つの入力に接続された第3のスイッチマトリクスと、前記第2の固定論理回路の前記少なくとも1つの出力に接続された第4のスイッチマトリクスと、第3のスイッチマトリクスに接続された第3の終端タイルと、第3のスイッチマトリクスに接続された第4の終端タイ
    ルとを含む、請求項1に記載のプログラマブルゲートアレイ。
  10. 前記第2の固定論理回路はさらに、デジタル信号プロセッサ、マイクロプロセッサ、物理層インターフェイス、リンク層インターフェイス、ネットワーク層インターフェイス、音声プロセッサ、映像グラフィックプロセッサ、および特定用途向け集積回路のうち少なくとも1つを含む、請求項9に記載のプログラマブルゲートアレイ。
  11. さらに、プログラム可能ロジック構造の周辺に配置された複数の入力/出力ブロックを備える、請求項1に記載のプログラマブルゲートアレイ。
  12. 前記第1の相互接続ロジックはさらに、(a)第1の相互接続ロジックおよび第1の固定論理回路のうち少なくとも1つをテストするためのテストモジュール、(b)タイミングモジュール、(c)第1の固定論理回路の前記少なくとも1つの入力および前記少なくとも1つの出力のうち少なくとも1つに対して論理機能を実行するためのロジックゲート、および(d)制御モジュール、のうち少なくとも1つを含む、請求項1に記載のプログラマブルゲートアレイ。
JP2003533144A 2001-09-28 2002-09-23 埋込み固定論理回路をサポートする相互接続ロジックを有するプログラマブルゲートアレイ Expired - Lifetime JP3939698B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/968,446 US6798239B2 (en) 2001-09-28 2001-09-28 Programmable gate array having interconnecting logic to support embedded fixed logic circuitry
PCT/US2002/030240 WO2003030009A2 (en) 2001-09-28 2002-09-23 Programmable gate array having interconnecting logic to support embedded fixed logic circuitry

Publications (2)

Publication Number Publication Date
JP2005512359A JP2005512359A (ja) 2005-04-28
JP3939698B2 true JP3939698B2 (ja) 2007-07-04

Family

ID=25514285

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003533144A Expired - Lifetime JP3939698B2 (ja) 2001-09-28 2002-09-23 埋込み固定論理回路をサポートする相互接続ロジックを有するプログラマブルゲートアレイ

Country Status (6)

Country Link
US (1) US6798239B2 (ja)
EP (1) EP1454257B1 (ja)
JP (1) JP3939698B2 (ja)
CA (1) CA2458060C (ja)
DE (1) DE60227985D1 (ja)
WO (1) WO2003030009A2 (ja)

Families Citing this family (116)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7266725B2 (en) 2001-09-03 2007-09-04 Pact Xpp Technologies Ag Method for debugging reconfigurable architectures
DE19651075A1 (de) 1996-12-09 1998-06-10 Pact Inf Tech Gmbh Einheit zur Verarbeitung von numerischen und logischen Operationen, zum Einsatz in Prozessoren (CPU's), Mehrrechnersystemen, Datenflußprozessoren (DFP's), digitalen Signal Prozessoren (DSP's) oder dergleichen
DE19654595A1 (de) 1996-12-20 1998-07-02 Pact Inf Tech Gmbh I0- und Speicherbussystem für DFPs sowie Bausteinen mit zwei- oder mehrdimensionaler programmierbaren Zellstrukturen
EP1329816B1 (de) 1996-12-27 2011-06-22 Richter, Thomas Verfahren zum selbständigen dynamischen Umladen von Datenflussprozessoren (DFPs) sowie Bausteinen mit zwei- oder mehrdimensionalen programmierbaren Zellstrukturen (FPGAs, DPGAs, o.dgl.)
US6542998B1 (en) 1997-02-08 2003-04-01 Pact Gmbh Method of self-synchronization of configurable elements of a programmable module
US8686549B2 (en) 2001-09-03 2014-04-01 Martin Vorbach Reconfigurable elements
DE19861088A1 (de) 1997-12-22 2000-02-10 Pact Inf Tech Gmbh Verfahren zur Reparatur von integrierten Schaltkreisen
DE60012639T2 (de) * 1999-03-04 2005-08-04 Altera Corp., San Jose Verbindungsressourcen für programmierbare logische integrierte schaltungen
CN1378665A (zh) 1999-06-10 2002-11-06 Pact信息技术有限公司 编程概念
EP1342158B1 (de) 2000-06-13 2010-08-04 Richter, Thomas Pipeline ct-protokolle und -kommunikation
ATE437476T1 (de) * 2000-10-06 2009-08-15 Pact Xpp Technologies Ag Zellenanordnung mit segmentierter zwischenzellstruktur
US8058899B2 (en) 2000-10-06 2011-11-15 Martin Vorbach Logic cell array and bus system
US7844796B2 (en) 2001-03-05 2010-11-30 Martin Vorbach Data processing device and method
US9037807B2 (en) 2001-03-05 2015-05-19 Pact Xpp Technologies Ag Processor arrangement on a chip including data processing, memory, and interface elements
US20070299993A1 (en) * 2001-03-05 2007-12-27 Pact Xpp Technologies Ag Method and Device for Treating and Processing Data
US7444531B2 (en) 2001-03-05 2008-10-28 Pact Xpp Technologies Ag Methods and devices for treating and processing data
US7581076B2 (en) * 2001-03-05 2009-08-25 Pact Xpp Technologies Ag Methods and devices for treating and/or processing data
US6462579B1 (en) 2001-04-26 2002-10-08 Xilinx, Inc. Partial reconfiguration of a programmable gate array using a bus macro
US6605962B2 (en) 2001-05-06 2003-08-12 Altera Corporation PLD architecture for flexible placement of IP function blocks
US7076595B1 (en) * 2001-05-18 2006-07-11 Xilinx, Inc. Programmable logic device including programmable interface core and central processing unit
WO2002103532A2 (de) 2001-06-20 2002-12-27 Pact Xpp Technologies Ag Verfahren zur bearbeitung von daten
US7996827B2 (en) 2001-08-16 2011-08-09 Martin Vorbach Method for the translation of programs for reconfigurable architectures
US6798237B1 (en) 2001-08-29 2004-09-28 Altera Corporation On-chip impedance matching circuit
US7434191B2 (en) 2001-09-03 2008-10-07 Pact Xpp Technologies Ag Router
US8686475B2 (en) 2001-09-19 2014-04-01 Pact Xpp Technologies Ag Reconfigurable elements
US6798239B2 (en) 2001-09-28 2004-09-28 Xilinx, Inc. Programmable gate array having interconnecting logic to support embedded fixed logic circuitry
US6781407B2 (en) * 2002-01-09 2004-08-24 Xilinx, Inc. FPGA and embedded circuitry initialization and processing
US6983405B1 (en) 2001-11-16 2006-01-03 Xilinx, Inc., Method and apparatus for testing circuitry embedded within a field programmable gate array
US6996758B1 (en) 2001-11-16 2006-02-07 Xilinx, Inc. Apparatus for testing an interconnecting logic fabric
US6886092B1 (en) 2001-11-19 2005-04-26 Xilinx, Inc. Custom code processing in PGA by providing instructions from fixed logic processor portion to programmable dedicated processor portion
DE10157874B4 (de) * 2001-11-26 2005-07-14 Infineon Technologies Ag Vorrichtung zum Zuführen von Steuersignalen zu Speichereinheiten und dafür angepasste Speichereinheit
US6812732B1 (en) 2001-12-04 2004-11-02 Altera Corporation Programmable parallel on-chip parallel termination impedance and impedance matching
US6836144B1 (en) 2001-12-10 2004-12-28 Altera Corporation Programmable series on-chip termination impedance and impedance matching
US6812734B1 (en) 2001-12-11 2004-11-02 Altera Corporation Programmable termination with DC voltage level control
US7109744B1 (en) 2001-12-11 2006-09-19 Altera Corporation Programmable termination with DC voltage level control
DE10392560D2 (de) 2002-01-19 2005-05-12 Pact Xpp Technologies Ag Reconfigurierbarer Prozessor
US6820248B1 (en) 2002-02-14 2004-11-16 Xilinx, Inc. Method and apparatus for routing interconnects to devices with dissimilar pitches
WO2003071432A2 (de) * 2002-02-18 2003-08-28 Pact Xpp Technologies Ag Bussysteme und rekonfigurationsverfahren
US6754882B1 (en) 2002-02-22 2004-06-22 Xilinx, Inc. Method and system for creating a customized support package for an FPGA-based system-on-chip (SoC)
US6976160B1 (en) 2002-02-22 2005-12-13 Xilinx, Inc. Method and system for controlling default values of flip-flops in PGA/ASIC-based designs
US6693452B1 (en) * 2002-02-25 2004-02-17 Xilinx, Inc. Floor planning for programmable gate array having embedded fixed logic circuitry
US6934922B1 (en) 2002-02-27 2005-08-23 Xilinx, Inc. Timing performance analysis
US7007121B1 (en) 2002-02-27 2006-02-28 Xilinx, Inc. Method and apparatus for synchronized buses
US6839874B1 (en) 2002-02-28 2005-01-04 Xilinx, Inc. Method and apparatus for testing an embedded device
US7111217B1 (en) 2002-02-28 2006-09-19 Xilinx, Inc. Method and system for flexibly nesting JTAG TAP controllers for FPGA-based system-on-chip (SoC)
US7111220B1 (en) 2002-03-01 2006-09-19 Xilinx, Inc. Network physical layer with embedded multi-standard CRC generator
US7187709B1 (en) * 2002-03-01 2007-03-06 Xilinx, Inc. High speed configurable transceiver architecture
US7088767B1 (en) 2002-03-01 2006-08-08 Xilinx, Inc. Method and apparatus for operating a transceiver in different data rates
US6961919B1 (en) 2002-03-04 2005-11-01 Xilinx, Inc. Method of designing integrated circuit having both configurable and fixed logic circuitry
US8914590B2 (en) 2002-08-07 2014-12-16 Pact Xpp Technologies Ag Data processing method and device
US6904586B1 (en) * 2002-03-25 2005-06-07 Lsi Logic Corporation Integrated circuit having integrated programmable gate array and field programmable gate array, and method of operating the same
US6934597B1 (en) 2002-03-26 2005-08-23 Lsi Logic Corporation Integrated circuit having integrated programmable gate array and method of operating the same
US6772405B1 (en) 2002-06-13 2004-08-03 Xilinx, Inc. Insertable block tile for interconnecting to a device embedded in an integrated circuit
US7085973B1 (en) 2002-07-09 2006-08-01 Xilinx, Inc. Testing address lines of a memory controller
US7093225B2 (en) * 2002-07-17 2006-08-15 Osann Robert Jr FPGA with hybrid interconnect
US7679398B2 (en) * 2002-07-17 2010-03-16 Osann Jr Robert Reprogrammable instruction DSP
AU2003286131A1 (en) 2002-08-07 2004-03-19 Pact Xpp Technologies Ag Method and device for processing data
US7657861B2 (en) 2002-08-07 2010-02-02 Pact Xpp Technologies Ag Method and device for processing data
US7099426B1 (en) 2002-09-03 2006-08-29 Xilinx, Inc. Flexible channel bonding and clock correction operations on a multi-block data path
EP1537486A1 (de) 2002-09-06 2005-06-08 PACT XPP Technologies AG Rekonfigurierbare sequenzerstruktur
US7092865B1 (en) 2002-09-10 2006-08-15 Xilinx, Inc. Method and apparatus for timing modeling
US7062586B2 (en) 2003-04-21 2006-06-13 Xilinx, Inc. Method and apparatus for communication within a programmable logic device using serial transceivers
US7132851B2 (en) * 2003-07-11 2006-11-07 Xilinx, Inc. Columnar floorplan
US6888369B1 (en) 2003-07-17 2005-05-03 Altera Corporation Programmable on-chip differential termination impedance
US6888370B1 (en) 2003-08-20 2005-05-03 Altera Corporation Dynamically adjustable termination impedance control techniques
US6859064B1 (en) 2003-08-20 2005-02-22 Altera Corporation Techniques for reducing leakage current in on-chip impedance termination circuits
JP4700611B2 (ja) 2003-08-28 2011-06-15 ペーアーツェーテー イクスペーペー テクノロジーズ アクチエンゲゼルシャフト データ処理装置およびデータ処理方法
US7885320B1 (en) 2003-09-11 2011-02-08 Xilinx, Inc. MGT/FPGA clock management system
US7406118B2 (en) * 2003-09-11 2008-07-29 Xilinx, Inc. Programmable logic device including programmable multi-gigabit transceivers
US7421014B2 (en) * 2003-09-11 2008-09-02 Xilinx, Inc. Channel bonding of a plurality of multi-gigabit transceivers
US7086025B1 (en) * 2003-10-23 2006-08-01 Adaptec, Inc. Programmable logic device partitioning method for application specific integrated circuit prototyping
JP2007522576A (ja) * 2004-02-12 2007-08-09 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ Io接続部を備えるデジタル信号処理集積回路
US9047094B2 (en) * 2004-03-31 2015-06-02 Icera Inc. Apparatus and method for separate asymmetric control processing and data path processing in a dual path processor
US7949856B2 (en) * 2004-03-31 2011-05-24 Icera Inc. Method and apparatus for separate control processing and data path processing in a dual path processor with a shared load/store unit
US8484441B2 (en) * 2004-03-31 2013-07-09 Icera Inc. Apparatus and method for separate asymmetric control processing and data path processing in a configurable dual path processor that supports instructions having different bit widths
KR101234746B1 (ko) * 2004-07-27 2013-02-19 이에이직 코포레이션 구조화된 집적회로 소자
US7098691B2 (en) * 2004-07-27 2006-08-29 Easic Corporation Structured integrated circuit device
US7463062B2 (en) * 2004-07-27 2008-12-09 Easic Corporation Structured integrated circuit device
US7590823B1 (en) 2004-08-06 2009-09-15 Xilinx, Inc. Method and system for handling an instruction not supported in a coprocessor formed using configurable logic
US7346759B1 (en) * 2004-08-06 2008-03-18 Xilinx, Inc. Decoder interface
US7546441B1 (en) 2004-08-06 2009-06-09 Xilinx, Inc. Coprocessor interface controller
US7590822B1 (en) 2004-08-06 2009-09-15 Xilinx, Inc. Tracking an instruction through a processor pipeline
US20060136606A1 (en) * 2004-11-19 2006-06-22 Guzy D J Logic device comprising reconfigurable core logic for use in conjunction with microprocessor-based computer systems
KR100594943B1 (ko) * 2004-11-30 2006-06-30 리전츠 오브 더 유니버스티 오브 미네소타 원칩시스템에서 데이터 변복조 방법
DE102004059673B4 (de) * 2004-12-10 2011-02-03 Infineon Technologies Ag System on Chip, Belichtungsmaskenanordnung und entsprechendes Herstellungsverfahren
US7218155B1 (en) 2005-01-20 2007-05-15 Altera Corporation Techniques for controlling on-chip termination resistance using voltage range detection
US7221193B1 (en) 2005-01-20 2007-05-22 Altera Corporation On-chip termination with calibrated driver strength
US7627291B1 (en) * 2005-01-21 2009-12-01 Xilinx, Inc. Integrated circuit having a routing element selectively operable to function as an antenna
US7193435B2 (en) * 2005-02-04 2007-03-20 Itt Manufacturing Enterprises, Inc. Programmable application specific integrated circuit for communication and other applications
US7491579B2 (en) * 2005-03-14 2009-02-17 Lsi Corporation Composable system-in-package integrated circuits and process of composing the same
US7620924B2 (en) * 2005-03-14 2009-11-17 Lsi Corporation Base platforms with combined ASIC and FPGA features and process of using the same
US7461236B1 (en) * 2005-03-25 2008-12-02 Tilera Corporation Transferring data in a parallel processing environment
US20060225020A1 (en) * 2005-04-01 2006-10-05 Anantha Chandrakasan Methods and apparatus for 3-D FPGA design
US7292063B2 (en) * 2005-05-02 2007-11-06 Lsi Corporation Method of interconnect for multi-slot metal-mask programmable relocatable function placed in an I/O region
US7679397B1 (en) 2005-08-05 2010-03-16 Altera Corporation Techniques for precision biasing output driver for a calibrated on-chip termination circuit
DE602005022237D1 (de) * 2005-11-29 2010-08-19 Oce Tech Bv Scanner und verfahren zum scannen
JP2009524134A (ja) 2006-01-18 2009-06-25 ペーアーツェーテー イクスペーペー テクノロジーズ アクチエンゲゼルシャフト ハードウェア定義方法
US20070187808A1 (en) * 2006-02-16 2007-08-16 Easic Corporation Customizable power and ground pins
US7478357B1 (en) 2006-08-14 2009-01-13 Xilinx, Inc. Versatile bus interface macro for dynamically reconfigurable designs
US7696782B2 (en) * 2008-02-15 2010-04-13 Broadcom Corporation Programmable core for implementing logic change
US7788470B1 (en) * 2008-03-27 2010-08-31 Xilinx, Inc. Shadow pipeline in an auxiliary processor unit controller
US20100277201A1 (en) * 2009-05-01 2010-11-04 Curt Wortman Embedded digital ip strip chip
EP2328096A1 (en) * 2009-11-27 2011-06-01 Panasonic Corporation Route-through in embedded functional units
EP2360601A1 (en) * 2010-02-16 2011-08-24 Panasonic Corporation Programmable logic device with custom blocks
US8612789B2 (en) 2011-01-13 2013-12-17 Xilinx, Inc. Power management within an integrated circuit
US8667192B2 (en) * 2011-02-28 2014-03-04 Xilinx, Inc. Integrated circuit with programmable circuitry and an embedded processor system
US8710863B2 (en) 2011-04-21 2014-04-29 Microchip Technology Incorporated Configurable logic cells
US9450585B2 (en) 2011-04-20 2016-09-20 Microchip Technology Incorporated Selecting four signals from sixteen inputs
US20120268162A1 (en) * 2011-04-21 2012-10-25 Microchip Technology Incorporated Configurable logic cells
JP5927012B2 (ja) * 2012-04-11 2016-05-25 太陽誘電株式会社 再構成可能な半導体装置
US9471537B2 (en) * 2013-03-14 2016-10-18 Altera Corporation Hybrid programmable many-core device with on-chip interconnect
EP2988420B1 (en) * 2014-08-20 2021-03-10 Framatome Circuit arrangement for a safety i&c system
US10312918B2 (en) 2017-02-13 2019-06-04 High Performance Data Storage And Processing Corporation Programmable logic design
US10573598B2 (en) 2017-09-28 2020-02-25 Xilinx, Inc. Integration of a programmable device and a processing system in an integrated circuit package
US10523209B1 (en) * 2017-11-14 2019-12-31 Flex Logix Technologies, Inc. Test circuitry and techniques for logic tiles of FPGA
EP3877851A1 (en) * 2018-11-05 2021-09-15 Xilinx, Inc. Network interface device

Family Cites Families (84)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US34363A (en) * 1862-02-11 Improvement in machinery for cleaning cotton
USRE34363E (en) 1984-03-12 1993-08-31 Xilinx, Inc. Configurable electrical circuit having configurable logic elements and configurable interconnects
US4706216A (en) 1985-02-27 1987-11-10 Xilinx, Inc. Configurable logic element
US5142625A (en) 1985-06-12 1992-08-25 Minolta Camera Kabushiki Kaisha One-chip microcomputer including a programmable logic array for interrupt control
US5072418A (en) 1989-05-04 1991-12-10 Texas Instruments Incorporated Series maxium/minimum function computing devices, systems and methods
US4855669A (en) 1987-10-07 1989-08-08 Xilinx, Inc. System for scan testing of logic circuit networks
US4878174A (en) 1987-11-03 1989-10-31 Lsi Logic Corporation Flexible ASIC microcomputer permitting the modular modification of dedicated functions and macroinstructions
JPH02235156A (ja) 1989-03-08 1990-09-18 Canon Inc 情報処理装置
US5274570A (en) 1989-05-22 1993-12-28 Mazda Motor Corporation Integrated circuit having metal substrate
JPH03210649A (ja) 1990-01-12 1991-09-13 Fujitsu Ltd マイクロコンピュータおよびそのバスサイクル制御方法
US5550782A (en) 1991-09-03 1996-08-27 Altera Corporation Programmable logic array integrated circuits
US5347181A (en) 1992-04-29 1994-09-13 Motorola, Inc. Interface control logic for embedding a microprocessor in a gate array
WO1993025968A1 (en) 1992-06-10 1993-12-23 Furtek Frederick C A modular computer based on reconfigurable logic
US5671355A (en) 1992-06-26 1997-09-23 Predacomm, Inc. Reconfigurable network interface apparatus and method
US5339262A (en) 1992-07-10 1994-08-16 Lsi Logic Corporation Method and apparatus for interim, in-situ testing of an electronic system with an inchoate ASIC
US5311114A (en) 1992-10-27 1994-05-10 Seeq Technology, Incorporated Apparatus and method for full-duplex ethernet communications
GB9223226D0 (en) 1992-11-05 1992-12-16 Algotronix Ltd Improved configurable cellular array (cal ii)
US5361373A (en) 1992-12-11 1994-11-01 Gilson Kent L Integrated circuit computing device comprising a dynamically configurable gate array having a microprocessor and reconfigurable instruction execution means and method therefor
GB9303084D0 (en) 1993-02-16 1993-03-31 Inmos Ltd Programmable logic circuit
JPH0736858A (ja) 1993-07-21 1995-02-07 Hitachi Ltd 信号処理プロセッサ
EP0665998A4 (en) 1993-08-03 1996-06-12 Xilinx Inc FPGA CIRCUIT WITH MICROPROCESSOR.
US5457410A (en) 1993-08-03 1995-10-10 Btr, Inc. Architecture and interconnect scheme for programmable logic circuits
US5740404A (en) 1993-09-27 1998-04-14 Hitachi America Limited Digital signal processor with on-chip select decoder and wait state generator
US5500943A (en) 1993-11-02 1996-03-19 Motorola, Inc. Data processor with rename buffer and FIFO buffer for in-order instruction completion
DE69430320T2 (de) 1993-12-13 2002-10-10 Lattice Semiconductor Corp Anwendungsspezifische module in einem programmierbaren logikbaustein
US5742179A (en) * 1994-01-27 1998-04-21 Dyna Logic Corporation High speed programmable logic architecture
US5574942A (en) 1994-02-28 1996-11-12 Intel Corporation Hybrid execution unit for complex microprocessor
US5543640A (en) 1994-03-15 1996-08-06 National Semiconductor Corporation Logical three dimensional interconnections between integrated circuit chips using a two dimensional multi-chip module
US5600845A (en) 1994-07-27 1997-02-04 Metalithic Systems Incorporated Integrated circuit computing device comprising a dynamically configurable gate array having a microprocessor and reconfigurable instruction execution means and method therefor
US5574930A (en) 1994-08-12 1996-11-12 University Of Hawaii Computer system and method using functional memory
US5732250A (en) 1994-09-15 1998-03-24 Intel Corporation Multi-function microprocessor wait state mechanism using external control line
US5742180A (en) 1995-02-10 1998-04-21 Massachusetts Institute Of Technology Dynamically programmable gate array with multiple contexts
US5892961A (en) 1995-02-17 1999-04-06 Xilinx, Inc. Field programmable gate array having programming instructions in the configuration bitstream
US5737631A (en) 1995-04-05 1998-04-07 Xilinx Inc Reprogrammable instruction set accelerator
US5748979A (en) 1995-04-05 1998-05-05 Xilinx Inc Reprogrammable instruction set accelerator using a plurality of programmable execution units and an instruction page table
US5752035A (en) 1995-04-05 1998-05-12 Xilinx, Inc. Method for compiling and executing programs for reprogrammable instruction set accelerator
WO1996034346A1 (en) 1995-04-28 1996-10-31 Xilinx, Inc. Microprocessor with distributed registers accessible by programmable logic device
GB9508931D0 (en) 1995-05-02 1995-06-21 Xilinx Inc Programmable switch for FPGA input/output signals
EP0780017A1 (en) * 1995-07-10 1997-06-25 Xilinx, Inc. System comprising field programmable gate array and intelligent memory
US6175952B1 (en) 1997-05-27 2001-01-16 Altera Corporation Technique of fabricating integrated circuits having interfaces compatible with different operating voltage conditions
US5933023A (en) 1996-09-03 1999-08-03 Xilinx, Inc. FPGA architecture having RAM blocks with programmable word length and width and dedicated address and data lines
US5825202A (en) 1996-09-26 1998-10-20 Xilinx, Inc. Integrated circuit with field programmable and application specific logic areas
CA2239186A1 (en) 1996-10-10 1998-04-16 Semiconductores Investigacion Y Diseno, S.A. - (Sidsa) Process for the prototyping of mixed signal applications and field programmable system on a chip for applying said process
US6120550A (en) 1996-10-28 2000-09-19 Altera Corporation Design file templates for implementation of logic designs
US5889788A (en) 1997-02-03 1999-03-30 Motorola, Inc. Wrapper cell architecture for path delay testing of embedded core microprocessors and method of operation
US6172990B1 (en) 1997-06-19 2001-01-09 Xaqti Corporation Media access control micro-RISC stream processor and method for implementing the same
US5914616A (en) 1997-02-26 1999-06-22 Xilinx, Inc. FPGA repeatable interconnect structure with hierarchical interconnect lines
US6204689B1 (en) 1997-02-26 2001-03-20 Xilinx, Inc. Input/output interconnect circuit for FPGAs
US5874834A (en) 1997-03-04 1999-02-23 Xilinx, Inc. Field programmable gate array with distributed gate-array functionality
US6011407A (en) 1997-06-13 2000-01-04 Xilinx, Inc. Field programmable gate array with dedicated computer bus interface and method for configuring both
US5970254A (en) 1997-06-27 1999-10-19 Cooke; Laurence H. Integrated processor and programmable data path chip for reconfigurable computing
US5995424A (en) 1997-07-16 1999-11-30 Tanisys Technology, Inc. Synchronous memory test system
US6020755A (en) 1997-09-26 2000-02-01 Lucent Technologies Inc. Hybrid programmable gate arrays
US6279045B1 (en) 1997-12-29 2001-08-21 Kawasaki Steel Corporation Multimedia interface having a multimedia processor and a field programmable gate array
US6096091A (en) 1998-02-24 2000-08-01 Advanced Micro Devices, Inc. Dynamically reconfigurable logic networks interconnected by fall-through FIFOs for flexible pipeline processing in a system-on-a-chip
US6178541B1 (en) 1998-03-30 2001-01-23 Lsi Logic Corporation PLD/ASIC hybrid integrated circuit
US6163166A (en) * 1998-05-27 2000-12-19 Altera Corporation Programmable logic device with selectable schmitt-triggered and threshold-triggered buffers
US6282627B1 (en) 1998-06-29 2001-08-28 Chameleon Systems, Inc. Integrated processor and programmable data path chip for reconfigurable computing
US6480989B2 (en) 1998-06-29 2002-11-12 Lsi Logic Corporation Integrated circuit design incorporating a power mesh
US6467009B1 (en) 1998-10-14 2002-10-15 Triscend Corporation Configurable processor system unit
US6343207B1 (en) 1998-11-03 2002-01-29 Harris Corporation Field programmable radio frequency communications equipment including a configurable if circuit, and method therefor
US6154051A (en) 1998-11-05 2000-11-28 Vantis Corporation Tileable and compact layout for super variable grain blocks within FPGA device
US6181163B1 (en) 1999-01-21 2001-01-30 Vantis Corporation FPGA integrated circuit having embedded SRAM memory blocks and interconnect channel for broadcasting address and control signals
US6184712B1 (en) 1999-02-25 2001-02-06 Xilinx, Inc. FPGA configurable logic block with multi-purpose logic/memory circuit
US6356987B1 (en) 1999-03-10 2002-03-12 Atmel Corporation Microprocessing device having programmable wait states
US6301696B1 (en) 1999-03-30 2001-10-09 Actel Corporation Final design method of a programmable logic device that is based on an initial design that consists of a partial underlying physical template
US6211697B1 (en) * 1999-05-25 2001-04-03 Actel Integrated circuit that includes a field-programmable gate array and a hard gate array having the same underlying structure
US6272451B1 (en) 1999-07-16 2001-08-07 Atmel Corporation Software tool to allow field programmable system level devices
JP3512166B2 (ja) 1999-11-26 2004-03-29 松下電器産業株式会社 プログラマブルロジックデバイスの設定方法
US6519753B1 (en) 1999-11-30 2003-02-11 Quicklogic Corporation Programmable device with an embedded portion for receiving a standard circuit design
US6588006B1 (en) 1999-12-16 2003-07-01 Lsi Logic Corporation Programmable ASIC
US6434735B1 (en) 1999-12-16 2002-08-13 Lsi Logic Corporation Method for programming an FPGA and implementing an FPGA interconnect
US6539508B1 (en) 2000-03-15 2003-03-25 Xilinx, Inc. Methods and circuits for testing programmable logic
US6587995B1 (en) 2000-04-19 2003-07-01 Koninklijke Philips Electronics N.V. Enhanced programmable core model with integrated graphical debugging functionality
US6353331B1 (en) 2000-07-10 2002-03-05 Xilinx, Inc. Complex programmable logic device with lookup table
US6507942B1 (en) 2000-07-11 2003-01-14 Xilinx , Inc. Methods and circuits for testing a circuit fabrication process for device uniformity
US6518787B1 (en) 2000-09-21 2003-02-11 Triscend Corporation Input/output architecture for efficient configuration of programmable input/output cells
US6611951B1 (en) 2000-11-30 2003-08-26 Lsi Logic Corporation Method for estimating cell porosity of hardmacs
US6522167B1 (en) 2001-01-09 2003-02-18 Xilinx, Inc. User configurable on-chip memory system
US6532572B1 (en) 2001-03-14 2003-03-11 Lsi Logic Corporation Method for estimating porosity of hardmacs
US6541991B1 (en) 2001-05-04 2003-04-01 Xilinx Inc. Interface apparatus and method for testing different sized ball grid array integrated circuits
US6601227B1 (en) 2001-06-27 2003-07-29 Xilinx, Inc. Method for making large-scale ASIC using pre-engineered long distance routing structure
US6510548B1 (en) 2001-08-03 2003-01-21 Xilinx, Inc. Method for providing pre-designed modules for programmable logic devices
US6798239B2 (en) 2001-09-28 2004-09-28 Xilinx, Inc. Programmable gate array having interconnecting logic to support embedded fixed logic circuitry

Also Published As

Publication number Publication date
WO2003030009A3 (en) 2004-06-17
CA2458060A1 (en) 2003-04-10
CA2458060C (en) 2009-09-22
EP1454257B1 (en) 2008-07-30
EP1454257A2 (en) 2004-09-08
US20030062922A1 (en) 2003-04-03
US6798239B2 (en) 2004-09-28
JP2005512359A (ja) 2005-04-28
WO2003030009A2 (en) 2003-04-10
DE60227985D1 (de) 2008-09-11

Similar Documents

Publication Publication Date Title
JP3939698B2 (ja) 埋込み固定論理回路をサポートする相互接続ロジックを有するプログラマブルゲートアレイ
US7420392B2 (en) Programmable gate array and embedded circuitry initialization and processing
JP2614169B2 (ja) プログラム可能アレイ論理装置およびプログラム可能論理装置
JP4593866B2 (ja) 乗算器をfpgaに組込むための方法および装置
JP3471088B2 (ja) 改良されたプログラマブル論理セルアレイアーキテクチャ
US8554972B2 (en) Logic chip, method and computer program for providing a configuration information for a configurable logic chip
US7154299B2 (en) Architecture for programmable logic device
Haddow et al. Bridging the genotype-phenotype mapping for digital FPGAs
US9564902B2 (en) Dynamically configurable and re-configurable data path
JPH0431446B2 (ja)
JPH04233326A (ja) 構成可能相互接続構造
JP2006166430A (ja) 集積回路上で揮発性と不揮発性プログラム可能ロジックを結合させるための技術
US8516025B2 (en) Clock driven dynamic datapath chaining
WO2008131138A2 (en) Universal digital block with integrated arithmetic logic unit
US20200067511A1 (en) Field programmable transistor arrays
US6870393B2 (en) Field programmable device
US6100714A (en) High density PLD structure with flexible logic built-in blocks
JP5698268B2 (ja) ミラーリングされた相互接続構造を有するプログラマブル集積回路
US8890570B2 (en) Switch block circuit in field programmable gate array
US20220222408A1 (en) System on chip architecture, interposer, fpga and method of design
Rajagopalan IMPLEMENTATION OF A DYNAMICALLY RECONFIGURABLE ASYNCHRONOUS PROGRAMMABLE LOGIC (DRAPL) ARCHITECTURE
JP2004214369A (ja) 集積回路装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20050421

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20061109

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20061128

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20070320

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20070328

R150 Certificate of patent or registration of utility model

Ref document number: 3939698

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110406

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120406

Year of fee payment: 5

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120406

Year of fee payment: 5

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130406

Year of fee payment: 6

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130406

Year of fee payment: 6

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140406

Year of fee payment: 7

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term