JP3160336B2 - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法

Info

Publication number
JP3160336B2
JP3160336B2 JP33496991A JP33496991A JP3160336B2 JP 3160336 B2 JP3160336 B2 JP 3160336B2 JP 33496991 A JP33496991 A JP 33496991A JP 33496991 A JP33496991 A JP 33496991A JP 3160336 B2 JP3160336 B2 JP 3160336B2
Authority
JP
Japan
Prior art keywords
gas containing
oxide film
film
gas
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP33496991A
Other languages
English (en)
Other versions
JPH05166763A (ja
Inventor
光志 池田
三千男 室岡
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP33496991A priority Critical patent/JP3160336B2/ja
Priority to DE69233442T priority patent/DE69233442T2/de
Priority to EP92311493A priority patent/EP0547884B1/en
Priority to US07/991,954 priority patent/US5431773A/en
Priority to KR1019920024860A priority patent/KR970007104B1/ko
Publication of JPH05166763A publication Critical patent/JPH05166763A/ja
Application granted granted Critical
Publication of JP3160336B2 publication Critical patent/JP3160336B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4908Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • G02F1/133Constructional arrangements; Operation of liquid crystal cells; Circuit arrangements
    • G02F1/136Liquid crystal cells structurally associated with a semi-conducting layer or substrate, e.g. cells forming part of an integrated circuit
    • G02F1/1362Active matrix addressed cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/6675Amorphous silicon or polysilicon transistors
    • H01L29/66765Lateral single gate single channel transistors with inverted structure, i.e. the channel layer is formed after the gate
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • G02F1/133Constructional arrangements; Operation of liquid crystal cells; Circuit arrangements
    • G02F1/136Liquid crystal cells structurally associated with a semi-conducting layer or substrate, e.g. cells forming part of an integrated circuit
    • G02F1/1362Active matrix addressed cells
    • G02F1/136286Wiring, e.g. gate line, drain line
    • G02F1/13629Multilayer wirings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1214Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs
    • H01L27/124Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body comprising a plurality of TFTs formed on a non-semiconducting substrate, e.g. driving circuits for AMLCDs with a particular composition, shape or layout of the wiring layers specially adapted to the circuit arrangement, e.g. scanning lines in LCD pixel circuits

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、半導体製造技術に係わ
り、特に金属膜上の酸化膜をエッチングによりパターニ
ングする工程を含む半導体装置の製造方法と液晶表示装
置の製造方法に関する。
【0002】
【従来の技術】近年、スイッチング素子として薄膜トラ
ンジスタ(TFT)を用いたアクティブマトリックス型
液晶表示装置(LCD)が注目されている。この装置で
は、安価なガラス基板上に低温成膜ができる非晶質シリ
コン(a−Si)膜を用いてTFTアレイを構成するこ
とにより、大面積,高精細,高画質,かつ安価なフラッ
トパネルディスプレイを実現することができる。
【0003】この種のディスプレイは図8に示すような
画素アレイにより構成される。この画素アレイには、ア
ドレス線(A)とデータ線(D)の交差点にTFT(T
r)が設けられ、液晶セル(LC)に接続された画素電
極には蓄積容量(Cs)が設けられている。アドレス線
Aとデータ線Dの間及び画素電極とCs線の間は、それ
ぞれ絶縁膜により絶縁されている。ここで、アドレス線
Aとデータ線Dがショートすると2本の線欠陥が発生
し、画素電極とCs線がショートすると点欠陥が発生す
る。このようなアドレス/データ線間ショートや画素電
極/Cs線間ショートを防ぐために、アドレス線A又は
Cs線の表面をピンホールのない陽極酸化膜で覆い、上
記のショートを防ぐことが提案されている。
【0004】ところで、このような構成においては、ア
ドレス線又はCs線と電気的接触を取るコンタクト部の
陽極酸化膜を除去することが必要となる。或いは、陽極
酸化膜を形成する際に、コンタクト部を何等かの物質で
覆い、陽極酸化膜を形成させないことが必要である。し
かし、後者の場合には、パターニング工程が1回余分に
必要となる。このため、コストの観点より前者の陽極酸
化膜を除去する工程が望ましい。このようなエッチング
工程においては、酸化膜の下にある金属との選択エッチ
ングが必要である。
【0005】従来、陽極酸化膜を形成する金属として
は、Ta,Mo−Ta合金,W−Ta合金が用いられて
いるが、これらの金属とその陽極酸化膜に対しては良好
な選択エッチングの方法は知られていなかった。例え
ば、Taに対してはCF4 又はCCl4 によるRIE
(リアクティブイオンエッチング)によりエッチングで
きることが報告されており(Somekhard et al, Applied
Optics; 1977,p126)、TaOxに対してはCF4 とH
2 或いはO2 の混合ガスによるRIEでエッチングでき
ることが報告されている(Seki et al, Journal of Ele
ctrochemicalSociety, 1983, p2505)。しかしながら、
Taに対するTa2 5 の選択エッチングは一般に非常
に困難であり、未だ報告されていない。また、通常の薬
品を用いたウェットエッチングでもTaに対するTa2
5 の選択エッチングの方法は知られていない。
【0006】
【発明が解決しようとする課題】このように従来、金属
膜上の陽極酸化膜を下地金属に対して十分な選択性を持
たせてエッチングすることは困難であり、これが層間の
絶縁に陽極酸化膜を用いた半導体装置の製造を阻害する
要因となっていた。
【0007】本発明は、上記事情を考慮してなされたも
ので、その目的とするところは、Ta等の金属膜上の酸
化膜を下地の金属膜に対して十分な選択比でエッチング
することができ、層間絶縁に陽極酸化膜等を使用した各
種半導体装置の製造に適した半導体装置の製造方法を提
供することにある。
【0008】
【課題を解決するための手段】本発明は、上記課題を解
決するために、次のような構成を採用している。
【0009】即ち本発明は、Ta又はTaを主成分とす
る合金からなる金属膜上に形成された該金属膜の酸化物
膜をパターニングする工程を含む半導体装置(又は液晶
表示装置)の製造方法において、弗素を含むガスと水素
を含むガスを励起し、この励起された活性種を反応室に
導入して、酸化物膜を選択的にエッチングすることを特
徴とし、さらに弗素を含むガスと酸素を含むガスの流量
比が、弗素を含むガスに対して酸素を含むガスが2以上
であることを特徴としている。
【0010】
【作用】本発明によれば、ダウンフロータイプのエッチ
ング装置を用い、弗素系のガス及び酸素系のガスの混合
比等を後述するように選択することにより、Ta又はT
aの合金からなる金属膜に対し、その上に形成された酸
化物膜を大きな選択比でエッチングすることができる。
これにより、陽極酸化膜を再現性良く十分なプロセスマ
ージンを持ってエッチングすることができ、各種半導体
装置の製造に適用することが可能となる。
【0011】
【実施例】まず、本発明の原理について説明する。
【0012】図1は、本発明方法によりTaOxをエッ
チングするためのドライエッチング装置の概略構成を示
す断面図である。この装置は、ダウンフロータイプのC
DE装置である。図中1は反応容器であり、この容器1
内には被処理基板2を載置する試料台3が設置されてい
る。容器1内にはガス導入管4及びマイクロ波放電管5
を介してエッチングガスが導入される。放電管5にはマ
イクロ波電源6が接続されており、ガス導入管4から供
給されたガスは放電管5内で励起され、励起された活性
種のみが容器1内に導入される。また、容器1内のガス
はガス排気口7から排気されるものとなっている。
【0013】TaOx膜は、シリコンやガラス基板上に
形成したTaの表面を陽極酸化又は熱酸化により酸化す
ることによって形成する。このようにして形成したTa
Oxは通常Ta2 5 である。TaOxのエッチングに
おいては、基板2を試料台3の上におき、容器1内を真
空ポンプ6により十分に排気する。次いで、反応性ガス
として弗化物、例えばCF4 とO2 を導入し、容器1内
を所定圧力にする。この状態でマイクロ波放電によりガ
スを励起して、励起された活性種のみを容器1内に導入
してエッチングを行う。
【0014】以下、図2〜図5に示す実験データを元
に、本発明を説明する。図2に、O2 /CF4 流量比を
変化させたときのTaOx,Ta,TaNOx,Ta
N,MoTaOx,MoTa,SiO,a−Si,及び
レジストのエッチレートを示す。このとき、基板温度は
100℃,CF4 流量は180sccm,マイクロ波電力は
600Wとした。TaOx/Taの選択比はO2 /CF
4 比が2以上で1以上(略2)となる。また、TaNO
x/TaNの選択比は全領域で2以上であるが特にO2
/CF4 比が2以上では選択比は6以上となる。また、
MoTaOx/MoTaの選択比は全領域で1以上であ
るが、特にO2 /CF4 比が1〜2では2以上となる。
【0015】図3に、CF4 の代わりにC2 6 ガスを
用いた場合を示す。このとき基板温度は100℃,C2
6 流量は150sccm,マイクロ波電力は600Wとし
た。この場合、TaOx/Taでは流量比が4以上で選
択比2以上となる。また、TaNOx/TaNでは全領
域で選択比は1以上であるが、特に流量比が4以上で選
択比は3以上となる。MoTaOx/MoTaでは全領
域で選択比は4以上が得られる。レジストはいずれの金
属及び金属酸化膜に対しても選択性を持っている。
【0016】図4に、エッチング速度のマイクロ波パワ
ー依存性を示す。ここでは、基板温度を100℃,CF
4 流量を180sccm,O2 流量を720sccmとした。こ
の図から、マイクロ波パワーが500〜800Wで選択
エッチングができるのが分かる。
【0017】図5に、TaOx/Taの選択比の温度依
存性を示す。ここでは、CF4 流量を180sccm,O2
流量を900sccm,マイクロ波パワーを600W,圧力
を300Paとして。この図から、室温から150℃で
約2の選択比を持つことが分かる。以下、本発明の実施
例を図面を参照して説明する。
【0018】図6は、本発明方法を液晶ディスプレイの
製造に適用した実施例を説明するためのもので、(a)
は平面図、(b)は(a)の矢視A−A′断面図、
(c)は(a)の矢視B−B′断面図である。
【0019】ガラス基板11の上にTaを300nmス
パッタ蒸着し、アドレス線12-1,Cs線12−2,コ
ンタクト部12−3を形成する。Taの表面をクエン酸
中で150Vまで0.5mA/cm2 で定電流酸化し、
その後150Vで定電圧酸化し、TaOx陽極酸化膜1
3(13-1,13-2,13-3)を300nm形成する。その
後、プラズマCVD法によりSiOx膜14を300n
m、a−Si膜15を300nm、n+ a−Si膜を5
0nm連続形成し、a−Siの島を形成する。次いで、
ITOを100nmスパッタ蒸着し、画素電極16を形
成する。次いで、希HF液でSiOx膜14をエッチン
グした後に、O2 /CF4 の混合ガスによりTaOx膜
13をCDEで選択エッチングし、Ta金属面12を露
出させた。ここで、CF4 流量180sccm,O2 流量9
00sccm,基板温度100℃,マイクロ波パワー600
Wの条件とした。これにより、下地のTaを殆どエッチ
ングすることなく、TaOxを選択的にエッチングする
ことができた。
【0020】その後、MoとAlをスパッタ蒸着し、ソ
ース17−1,ドレイン17−2,データ線17−3,
コンタクト部17−4,アドレス線上積層部17−5を
形成し、チャネル部のn+ a−Siをエッチングする。
【0021】従来は、TaOx膜13を下地のTaに対
して選択エッチングができなかったために、陽極酸化時
にコンタクトを取る部分をレジストで覆って陽極酸化さ
れないようにしていた。しかし、このフォトリソグラフ
ィプロセスにおいて欠陥が発生し歩留りを十分に上げる
ことができなかった。これに対し本実施例では、CDE
のガスの流量比(O2 /CF4 )を最適に設定すること
により、TaOx膜13を下地のTaに対して高い選択
比でエッチングすることができ、製造歩留りの向上をは
かることができる。
【0022】また、図6に示したように、コンタクトホ
ール形成時に、アドレス線上にも穴を開けその上にAl
/Mo17−5を形成することにより、配線抵抗を下げ
ることができた。従来のレジストマスク陽極酸化では、
パターンの境界付近のレジストの下にも液が染み込み陽
極酸化されるため、細かいパターンのマスク陽極酸化は
できなかったため、このように配線抵抗を下げることは
できなかった。
【0023】図7は、本発明方法をMIMを用いた液晶
ディスプレイの製造に適用した実施例を説明するための
もので、(a)は平面図、(b)は(a)の矢視A−
A′断面図、(c)は(a)の矢視B−B′断面図であ
る。
【0024】ガラス基板21上にTaNx膜22−1
(N=43 atm%)を30nm、Ta膜22−2を22
0nm堆積し、これをCDEによりパターニングして下
部電極配線22を形成した。続いて、この配線22の表
面を0.01%クエン酸中で25Vまで定電流酸化し、
引続き25Vで1時間定電圧酸化して、陽極酸化膜23
(23-1,23-2)を形成した。次いで、ITOを100n
mを堆積し、これをパターニングして表示電極24を形
成した。
【0025】次いで、配線部及びコンタクトホール部の
陽極酸化膜23を、本発明によるCDE(酸化膜23が
TaNx膜22-1及びTa膜22-2に対し十分大きな選択比
でエッチングされる条件)により選択エッチングした。
具体的なエッチング条件としては、例えばガスとしてO
2 とC2 6 との混合ガスを用い、O2 流量900scc
m,C2 6 流量150sccm,マイクロ波パワー600
Wとした。その後、この上にCrを100nm堆積し、
MIM素子の上部電極25−1,配線部上の配線抵抗低
下のためのCr積層25−2、コンタクト部上のCr積
層25−3を形成した。
【0026】このように本実施例によれば、TaNx膜
22−1上に形成した陽極酸化膜(TaNO)23−1
及びTa膜22−2上に形成した陽極酸化膜(TaO)
23−2を下地(TaNx及びTa)に対して大きな選
択比でエッチングすることができ、コンタクトホールを
再現性良く形成することができる。従って、陽極酸化に
よる表面保護を実現することができ、素子製造歩留りの
向上をはかることが可能となる。
【0027】なお、本発明は上述した各実施例に限定さ
れるものではない。実施例ではエッチング方式としてダ
ウンフロータイプのCDEについて述べたが、イオンダ
メージを受けないプラズマエッチング、つまり反応室
(反応空間)とは別室でプラズマ放電によりガスを励起
する方式を用いても同様の選択エッチングが可能であっ
た。
【0028】また、実施例では、本発明に係わるエッチ
ング方法をTFTアレイに適用した例を述べたが、Ta
酸化膜を用いたタンタルコンデンサの作成に適用するこ
ともできる。また、実施例ではTaの酸化膜について述
べたが、Mo−Ta合金,Ta−W合金及びTa−Nb
合金、又はこれらの間の合金及びその窒化膜における陽
極酸化膜についても同様に適用することができる。
【0029】また、配線の構造としては単層膜に限ら
ず、Ta,Ta−W,Ta−Mo,Ta−Nb,これら
相互の合金及びその窒化膜を用いた積層膜でもよいし、
少なくとも最上層がこれらの金属及びその陽極酸化膜に
より構成されていれば本発明の効果がある。また、陽極
酸化に限らず、熱酸化膜やCVD、蒸着膜でも同様の効
果がある。
【0030】また、本エッチング方法は上記合金とその
酸化膜との間だけではなく、Si上の上記合金酸化膜を
選択的にエッチングすることもできる。これは、例えば
DRAMのキャパシタ絶縁膜のSiに対する選択エッチ
ングに用いることができる。また、Ta合金とその酸化
膜が混在したパターンのエッチングに用いてもよい。
【0031】陽極酸化を用いる場合には、陽極酸化の溶
液はクエン酸に限らず、燐酸,酢酸,臭酸,またはこれ
らにアルコールやグリコールを添加した液でもよい。T
FTの構造は実施例に限らず、チャネルの上部にエッチ
ングストッパを設けた構造でもよい。また、ゲート,C
s線はTa単層に限らず、これらの合金を含む積層膜で
もよい。その他、本発明の要旨を逸脱しない範囲で、種
々変形して実施することができる。
【0032】
【発明の効果】以上詳述したように本発明によれば、T
a又はTaを主成分とする合金を用いた金属膜上の酸化
膜をパターニングするに際し、弗素を含むガスと酸素を
含むガスを励起し、この励起された活性種を反応室に導
すると共に、弗素を含むガスに対して酸素を含むガス
を流量比で2以上に設定して、酸化物膜を選択的にエッ
チングすることにより、金属膜上の酸化膜を下地の金属
膜に対して十分な選択比でエッチングすることができ、
層間絶縁に陽極酸化膜等を使用した各種半導体装置の製
造に効果的に適用することが可能である。
【図面の簡単な説明】
【図1】本発明方法に使用したドライエッチング装置の
一例を示す概略構成図、
【図2】O2 /CF4 流量比に対するエッチング速度の
関係を示す特性図、
【図3】O2 /C2 6 流量比に対するエッチング速度
の関係を示す特性図、
【図4】マイクロ波電力に対するエッチング速度の関係
を示す特性図、
【図5】マイクロ波電力に対する選択比の関係を示す特
性図、
【図6】本発明方法を適用した第1の実施例を説明する
ための平面図及び断面図、
【図7】本発明方法を適用した第2の実施例を説明する
ための平面図及び断面図、
【図8】液晶ディスプレイの画素アレイ構造を示す回路
構成図。
【符号の説明】
1…反応容器、 2…被処理基板、
3…試料台、 4…ガス導入管、5…放電管、
6…マイクロ波電源、7…ガス
排気口、 11…ガラス基板、12−
1…アドレス線、12−2…Cs線、12−3…コンタ
クト部、 13…陽極酸化膜、14…CVD−
SiOx膜、 15…a−Si膜、16…画素電
極、 17−1…ソース、17−2…ドレイン、
17−3…データ線、17−4…コンタク
ト部、 17−5…アドレス線上積層部。
───────────────────────────────────────────────────── フロントページの続き (58)調査した分野(Int.Cl.7,DB名) H01L 21/3065 G02F 1/1368 H01L 21/336

Claims (4)

    (57)【特許請求の範囲】
  1. 【請求項1】基板上に形成されたTa又はTaを主成分
    とする合金を用いた金属膜上に該金属膜の酸化物膜を形
    成する工程と、弗素を含むガスと酸素を含むガスを励起
    し、この励起された活性種を反応室に導入して、前記酸
    化物膜を選択的にエッチングする工程とを含み、前記弗素を含むガスと前記酸素を含むガスの流量比が、
    前記弗素を含むガスに対して前記酸素を含むガスが2以
    上である ことを特徴とする半導体装置の製造方法。
  2. 【請求項2】前記励起がマイクロ波放電管内で行われる
    請求項1記載の半導体装置の製造方法。
  3. 【請求項3】基板上に形成されたTa又はTaを主成分
    とする合金を用いた金属膜上に該金属膜の酸化物膜を形
    成する工程と、弗素を含むガスと酸素を含むガスを励起
    し、この励起された活性種を反応室に導入して、前記酸
    化物膜を選択的にエッチングする工程とを含み、前記弗素を含むガスと前記酸素を含むガスの流量比が、
    前記弗素を含むガスに対して前記酸素を含むガスが2以
    上である ことを特徴とする液晶表示装置の製造方法。
  4. 【請求項4】前記励起がマイクロ波放電管内で行われる
    請求項3記載の液晶表示装置の製造方法。
JP33496991A 1991-12-18 1991-12-18 半導体装置の製造方法 Expired - Fee Related JP3160336B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP33496991A JP3160336B2 (ja) 1991-12-18 1991-12-18 半導体装置の製造方法
DE69233442T DE69233442T2 (de) 1991-12-18 1992-12-16 Verfahren zum selektiven Ätzen eines Metall-Oxids auf einem Tantal enthaltenden Material
EP92311493A EP0547884B1 (en) 1991-12-18 1992-12-16 Method of selectively etching a metal oxide on a material including Tantalum
US07/991,954 US5431773A (en) 1991-12-18 1992-12-17 Method of manufacturing semiconductor device
KR1019920024860A KR970007104B1 (ko) 1991-12-18 1992-12-17 반도체 장치의 제조방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP33496991A JP3160336B2 (ja) 1991-12-18 1991-12-18 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JPH05166763A JPH05166763A (ja) 1993-07-02
JP3160336B2 true JP3160336B2 (ja) 2001-04-25

Family

ID=18283254

Family Applications (1)

Application Number Title Priority Date Filing Date
JP33496991A Expired - Fee Related JP3160336B2 (ja) 1991-12-18 1991-12-18 半導体装置の製造方法

Country Status (5)

Country Link
US (1) US5431773A (ja)
EP (1) EP0547884B1 (ja)
JP (1) JP3160336B2 (ja)
KR (1) KR970007104B1 (ja)
DE (1) DE69233442T2 (ja)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1994018600A1 (en) * 1993-02-10 1994-08-18 Seiko Epson Corporation Non-linear resistance element, method of its manufacture, and liquid crystal display
JPH09266179A (ja) * 1996-03-29 1997-10-07 Nec Corp タングステン合金電極および配線
US6054392A (en) * 1997-05-27 2000-04-25 Mitsubishi Denki Kabushiki Kaisha Active matrix substrate and method of forming a contact hole in the same
JP2000039628A (ja) 1998-05-16 2000-02-08 Semiconductor Energy Lab Co Ltd 半導体表示装置
US6086777A (en) * 1998-07-02 2000-07-11 Advanced Micro Devices, Inc. Tantalum barrier metal removal by using CF4 /o2 plasma dry etch
DE19856084C2 (de) * 1998-12-04 2002-07-11 Infineon Technologies Ag Verfahren zur Herstellung einer Metalloxidschicht bzw. einer strukturierten Metalloxidschicht
DE19856082C1 (de) 1998-12-04 2000-07-27 Siemens Ag Verfahren zum Strukturieren einer metallhaltigen Schicht
US6184128B1 (en) 2000-01-31 2001-02-06 Advanced Micro Devices, Inc. Method using a thin resist mask for dual damascene stop layer etch
US20060003485A1 (en) * 2004-06-30 2006-01-05 Hoffman Randy L Devices and methods of making the same
JP7362258B2 (ja) * 2019-02-08 2023-10-17 東京エレクトロン株式会社 基板処理方法及び成膜システム

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4158613A (en) * 1978-12-04 1979-06-19 Burroughs Corporation Method of forming a metal interconnect structure for integrated circuits
US4718976A (en) * 1982-03-31 1988-01-12 Fujitsu Limited Process and apparatus for plasma treatment
US4687544A (en) * 1985-05-17 1987-08-18 Emergent Technologies Corporation Method and apparatus for dry processing of substrates
US4711698A (en) * 1985-07-15 1987-12-08 Texas Instruments Incorporated Silicon oxide thin film etching process
US4789645A (en) * 1987-04-20 1988-12-06 Eaton Corporation Method for fabrication of monolithic integrated circuits
JPS6483125A (en) * 1987-09-25 1989-03-28 Chino Corp Two dimensional radiation thermometer
GB2213639B (en) * 1987-12-10 1990-11-07 Seiko Epson Corp "non-linear device, e.g. for a liquid crystal display"
US5183531A (en) * 1989-08-11 1993-02-02 Sanyo Electric Co., Ltd. Dry etching method
US5098860A (en) * 1990-05-07 1992-03-24 The Boeing Company Method of fabricating high-density interconnect structures having tantalum/tantalum oxide layers

Also Published As

Publication number Publication date
EP0547884B1 (en) 2004-11-03
KR970007104B1 (ko) 1997-05-02
US5431773A (en) 1995-07-11
KR930014815A (ko) 1993-07-23
DE69233442T2 (de) 2005-11-24
EP0547884A1 (en) 1993-06-23
JPH05166763A (ja) 1993-07-02
DE69233442D1 (de) 2004-12-09

Similar Documents

Publication Publication Date Title
US6235559B1 (en) Thin film transistor with carbonaceous gate dielectric
JP4272272B2 (ja) 配線用組成物、この組成物を用いた金属配線およびその製造方法、この配線を用いた表示装置およびその製造方法
JPH0815218B2 (ja) 半導体装置の製造方法
JP3160336B2 (ja) 半導体装置の製造方法
JP4190118B2 (ja) 半導体装置、液晶表示装置および半導体装置の製造方法
JPH05323373A (ja) 薄膜トランジスタパネルの製造方法
JPH09266200A (ja) 半導体装置の製造方法
CN101419916B (zh) 薄膜晶体管的制造方法
JPH0862628A (ja) 液晶表示素子およびその製造方法
JP3288615B2 (ja) 薄膜トランジスタの製造方法
US5723366A (en) Dry etching method, method of fabricating semiconductor device, and method of fabricating liquid crystal display device
JPH10209458A (ja) 液晶表示装置とこれに用いられる薄膜トランジスタ及びその製造方法
US6890856B2 (en) Method for eliminating process byproduct during fabrication of liquid crystal display
JP2008304830A (ja) 表示デバイスの製造方法
JP2698724B2 (ja) 薄膜トランジスタ及びその製造方法
US6579749B2 (en) Fabrication method and fabrication apparatus for thin film transistor
JPH0590219A (ja) 半導体装置の製造方法
JP2731114B2 (ja) 電子素子及びその製造方法
JPH0618930A (ja) アクティブマトリックス形液晶表示装置の製造方法
JP2948436B2 (ja) 薄膜トランジスタおよびそれを用いる液晶表示装置
JP4397899B2 (ja) 半導体装置の作製方法
JP2007251104A (ja) 薄膜トランジスタの製造方法
JPH06151460A (ja) 逆スタッガ型tftの製造方法
JPH09232585A (ja) 陽極酸化膜を有する電子デバイス、および陽極酸化膜のエッ チング方法
JPH07245403A (ja) 金属配線、薄膜トランジスタおよびtft液晶表示装置

Legal Events

Date Code Title Description
S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20080216

Year of fee payment: 7

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20090216

Year of fee payment: 8

LAPS Cancellation because of no payment of annual fees