JP2023139005A - 小角x線散乱計測計 - Google Patents

小角x線散乱計測計 Download PDF

Info

Publication number
JP2023139005A
JP2023139005A JP2023108960A JP2023108960A JP2023139005A JP 2023139005 A JP2023139005 A JP 2023139005A JP 2023108960 A JP2023108960 A JP 2023108960A JP 2023108960 A JP2023108960 A JP 2023108960A JP 2023139005 A JP2023139005 A JP 2023139005A
Authority
JP
Japan
Prior art keywords
ray
sample
saxs
ray beam
detector
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023108960A
Other languages
English (en)
Inventor
ディコポルテセフ、アレックス
Dikopoltsev Alex
ウォーミントン、マシュー
Wormington Matthew
ヴァインシュタイン、ユリ
Vinshtein Yuri
クローマル、アレクサンダー
Krokhmal Alexander
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Bruker Technologies Ltd
Original Assignee
Bruker Technologies Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Bruker Technologies Ltd filed Critical Bruker Technologies Ltd
Priority to JP2023108960A priority Critical patent/JP2023139005A/ja
Publication of JP2023139005A publication Critical patent/JP2023139005A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/201Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials by measuring small-angle scattering
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B15/00Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B15/00Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons
    • G01B15/02Measuring arrangements characterised by the use of electromagnetic waves or particle radiation, e.g. by the use of microwaves, X-rays, gamma rays or electrons for measuring thickness
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/20Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by using diffraction of the radiation by the materials, e.g. for investigating crystal structure; by using scattering of the radiation by the materials, e.g. for investigating non-crystalline materials; by using reflection of the radiation by the materials
    • G01N23/207Diffractometry using detectors, e.g. using a probe in a central position and one or more displaceable detectors in circumferential positions
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/22Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material
    • G01N23/223Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material by irradiating the sample with X-rays or gamma-rays and by measuring X-ray fluorescence
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01TMEASUREMENT OF NUCLEAR OR X-RADIATION
    • G01T1/00Measuring X-radiation, gamma radiation, corpuscular radiation, or cosmic radiation
    • G01T1/16Measuring radiation intensity
    • G01T1/161Applications in the field of nuclear medicine, e.g. in vivo counting
    • G01T1/164Scintigraphy
    • G01T1/166Scintigraphy involving relative movement between detector and subject
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B2210/00Aspects not specifically covered by any group under G01B, e.g. of wheel alignment, caliper-like sensors
    • G01B2210/56Measuring geometric parameters of semiconductor structures, e.g. profile, critical dimensions or trench depth
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/05Investigating materials by wave or particle radiation by diffraction, scatter or reflection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/07Investigating materials by wave or particle radiation secondary emission
    • G01N2223/076X-ray fluorescence
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N2223/00Investigating materials by wave or particle radiation
    • G01N2223/60Specific applications or type of materials
    • G01N2223/611Specific applications or type of materials patterned objects; electronic devices
    • G01N2223/6116Specific applications or type of materials patterned objects; electronic devices semiconductor wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions

Landscapes

  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Pathology (AREA)
  • Biochemistry (AREA)
  • Analytical Chemistry (AREA)
  • Immunology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Electromagnetism (AREA)
  • Biomedical Technology (AREA)
  • Engineering & Computer Science (AREA)
  • Medical Informatics (AREA)
  • Nuclear Medicine, Radiotherapy & Molecular Imaging (AREA)
  • Optics & Photonics (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Molecular Biology (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

【課題】X線散乱計測計を使用して半導体デバイスの幾何学的構造を測定する方法およびシステムを提供する。【解決手段】X線装置であって、サンプル190を保持するステージ210と、X線ビーム130をサンプルの第1の側面に向けるX線ソース100と、サンプル測定期間中に、サンプルを透過したX線の少なくとも一部を検知するためにサンプルの第2の側面の下流に配置された検知器240と、ビーム強度モニタリング期間中に、X線ソースとサンプルの第1の側面との間に位置する測定位置に配置され、X線ビームがサンプルに到達する前のX線ビームの少なくとも一部を検知するためのX線強度検知器702と、を有する。【選択図】図30

Description

本発明は、一般に、X線分析、特に、X線散乱計測計を使用して半導体デバイスの幾何学的構造を測定するための方法およびシステムに関する。
(関連出願の相互参照)
本出願は、以下の米国暫定特許出願の利益を主張する:
(a)米国暫定特許出願第62/694,097、出願日2018年7月5日
(b)米国暫定特許出願第62/711,477、出願日2018年7月28日
(c)米国暫定特許出願第62/711,478、出願日2018年7月28日
(d)米国暫定特許出願第62/711,476、出願日2018年7月28日
(e)米国暫定特許出願第62/757,297、出願日2018年11月8日
X線散乱計測技術は、半導体デバイスの幾何学的構造を測定するために使用される。
たとえば、米国特許第7,481,579号(特許文献6)は、サンプルの表面に重ねられた第1および第2の薄膜層にそれぞれ形成された第1および第2のフィーチャを有するサンプルの領域に衝突するようにX線のビームを向けることを含む検査方法を記載している。第1および第2のフィーチャのアライメントを評価するために、第1および第2のフィーチャから回折されたX線のパターンが検知および分析される。
米国特許第9,606,073号(特許文献7)は、軸を有する平面内にサンプルを保持するサンプル支持体を含む装置を記載しており、平面は、平面によって分離された第1の領域および第2の領域を画定する。第1領域のソースマウントは軸を中心に回転し、ソースマウントのX線ソースは、X線の第1および第2の入射ビームを、軸に直交するビーム軸に沿って第1および第2の角度でサンプルに衝突させるように向ける。第2の領域の検知器マウントは、軸に直交する平面内を移動し、検知器マウントのX線検知器は、第1および第2の入射ビームに応答してサンプルを透過したX線の第1および第2の回折ビームを受け取り、そして受信した第1および第2の回折ビームに応答して、それぞれ第1および第2の信号を出力する。プロセッサは、サンプルの表面のプロファイルを決定するために、第1および第2の信号を分析する。
米国特許第9,269,468号(特許文献8)は、結晶を通過するチャネルを有し、複数の内面を有する結晶を含む、X線光学デバイスを記載している。マウントは、X線ビームのソースに対して固定された位置に結晶を保持し、そして2つの事前定義された配置間で結晶を自動的にシフトするように構成される:第1の配置では、X線ビームが1つまたは複数の内面から回折しながらチャネルを通過し、そして第2の配置では、X線ビームが結晶による回折なしにチャネルを通過する。
米国特許第8,243,878号(特許文献9)は、エピタキシャル層がその上に形成されたサンプルの表面にX線の収束ビームを向けること、およびサンプルから回折されたX線を検知しながら、検知されたX線を角度の関数として分解してエピタキシャル層による回折ピークとフリンジを含む回折スペクトルを生成することを含む分析方法を記載している。
(高アスペクト比ホールの指向性)
高アスペクト比(HAR)のホールは、半導体ウェハなどの半導体オブジェクトに形成されるが、これに限定されない。アスペクト比(AR)は、ホールの側面(面内)の寸法に対するホールの横断方向(ウェハの面外)の寸法の比率として定義される。高アスペクト比は10:1を超える場合がある。側面の寸法はサブミクロンスケ-ルであり得る。HARホールは、埋められていないか、または周囲の材料の組成とは異なりうる材料で埋められている。
HARホールのスタック(シーケンスとも呼ばれる)は、スタックの各HARホールのARよりも高いアスペクト比を持つ構造を提供する場合がある。シーケンスのHARホールが同一で完全にアライメントしている場合、シーケンスのARはHARホールのARの合計になる。
製造プロセスの欠陥により、HARホールは望ましい方向から外れるように配向される場合がある。HARホールは相互にずれている可能性がある。
追加的または代替的に、HARホールの少なくとも1つは、(ウェハの表面に対して)所望の偏角から逸脱する角度で配向され得る。たとえば、HARホールはウェハの表面に対して垂直である必要があるが、HARホールはウェハの表面に対して斜めになっている場合がある。
各シーケンスがHARホールを含む、シーケンスの1つの配列に属するHARホールのシーケンスのHARホールの配向性を決定する必要性が高まっている。
(高アスペクト比ホールの配列に関連する情報を抽出する)
小角X線散乱(SAXS)を使用して、半導体サンプル上または半導体サンプル内のHARホールの配列の配置と形状を測定できる。SAXSは、半導体サンプル、ウェハ、またはクーポンにX線ビームを照射することを含む。X線ビームは半導体サンプルを通過し、オブジェクトの配列によって散乱される。これにより、検知器によって検知される散乱パターン(SAXSパターンまたはSAXS強度分布とも呼ばれる)が提供される。
オブジェクトの配列は、HARホールの配列に加えて、HARホールの配列による、および1つ以上の追加の反復構造による、X線ビームの散乱によって生成される散乱パターンを含む1つまたは複数の追加の反復構造を含み得る。
HARホールの配列に関する情報を抽出するためのシステム、方法、およびコンピュータプログラム製品を提供する必要性が高まっている。
(異なる角度からオブジェクトを評価する)
小角X線散乱(SAXS)を使用して、半導体サンプル上または半導体サンプル内のHARホールの配列の配置と形状を測定できる。SAXSは、半導体サンプル、ウェハ、またはクーポンにX線ビームを照射することを含む。X線ビームは半導体サンプルを通過し、オブジェクトの配列によって散乱される。これにより、検知器によって検知される散乱パターン(SAXSパターンまたはSAXS強度分布とも呼ばれる)が提供される。
いくつかの場合には、半導体オブジェクトをさまざまな角度から測定する必要がある。
より正確で精緻な形状情報を提供するために、半導体オブジェクトを異なる角度から検査するためのシステムと方法を提供する必要性が高まっている。
米国暫定特許出願第62/694,097 米国暫定特許出願第62/711,477 米国暫定特許出願第62/711,478 米国暫定特許出願第62/711,476 米国暫定特許出願第62/757,297 米国特許第7,481,579号 米国特許第9,606,073号 米国特許第9,269,468号 米国特許第8,243,878号
{クレ-ムの概要は、最終バ-ジョンでここに挿入される。}
本発明は、その実施形態の以下の詳細な説明から、以下の図面と併せて、より完全に理解されるであろう:
本発明の実施形態による、小角X線散乱(SAXS)システムの概略図である。 本発明の実施形態による、小角X線散乱(SAXS)システムの概略図である。 図4は本発明の一実施形態による、ビーム調整組立体の概略図である。図5は本発明の実施形態による、スリット組立体の概略図である。 本発明の実施形態による、スリット組立体の概略図である。 図7A,Bは本発明の実施形態による、ビーム遮断組立体の概略図である。図8Aは本発明の別の一実施形態による、ビームブロッカーが存在しない場合の、検知器によって検知されたX線ビームの強度を示す画像の概略図である。図8Bは本発明の一実施形態による、ビームブロッカーの存在下で検知器によって検知されたX線ビームの強度を示す画像の概略図である。 図9Aは本発明の別の一実施形態による、ビームブロッカーが存在しない場合の、検知器によって検知された散乱X線ビームの強度を示す画像の概略図である。図9Bは本発明の一実施形態による、ビームブロッカーの存在下で検知器によって検知された散乱X線ビームの強度を示す画像の概略図である。図10は本発明の一実施形態による、改善された角度分解能のために、センサの配列を含むX線検知器がセンサ間の距離よりも小さいステップで移動される走査方式の概略図である。 図11、12は検知器およびスリット組立体の一部を示す図である。 検知器およびスリット組立体の一部を示す図である。 検知器およびスリット組立体の一部を示す図である。 検知器およびスリット組立体の一部を示す図である。 検知器およびスリット組立体の一部を示す図である。 システムと検知器を示す図である。 システムと検知器を示す図である。 システムの一部を示す図である。 方法を示す図である。 サンプル、X線ビーム、および従来技術のXRF検知器を示す図である。 図22はXRF検知器を示す図である。図23はサンプル、X線ビームおよびXRF検知器を示す図である。図24はサンプルと検知器を示す図である。 サンプルと検知器を示す図である。 システムと検知器を示す図である。 システムと検知器を示す図である。 システムと検知器を示す図である。 システムと検知器を示す図である。 システムと検知器を示す図である。 方法を示す図である。 HARホールのアライメントされたスタックの配列およびHARホールのアライメントされていないスタックの配列を示す図である。 HARホールのアライメントされたスタックおよびHARホールのアライメントされていないスタックの例を示す図である。 HARホールのスタックの配列を照明するときに得られる1D小角X線散乱(SAXS)パターンの例を示す図である。 図35はHARホールのアライメントされたスタックの配列のSAXSパターンの異なる範囲の回転と合計強度との間の関係の例を示す図である。図36はHARホールの不アライメントスタックの配列のSAXSパターンの異なる範囲の回転と合計強度との間の関係の例を示す図である。 HARホールのアライメントされていないスタックの配列およびHARホールのアライメントされたスタックの配列のSAXSパターンの第1の範囲の回転と合計強度との間の関係の例を示す図である。 方法の例を示す図である。 方法の例を示す図である。 半導体オブジェクトの例を示す図である。 半導体オブジェクトおよびX線散乱計測計装置のいくつかの部分の例を示す図である。 半導体オブジェクトおよびX線散乱計測装置のいくつかの部分の例を示す図である。 方法の例を示す図である。 半導体オブジェクトの例を示す図である。 半導体オブジェクトおよびX線散乱計測装置のいくつかの部品の例を示す図である。 半導体オブジェクトおよびX線散乱計測装置のいくつかの部品の例を示す図である。 X線ビーム断面およびSAXSパターンの通過の例を示す図である。 X線ビーム断面およびSAXSパターンの通過の例を示す図である。 X線ビーム断面およびSAXSパターンの通過の例を示す図である。 X線ビーム断面およびSAXSパターンの通過の例を示す図である。 X線ビーム断面およびSAXSパターンの通過の例を示す図である。 X線ビーム断面およびSAXSパターンの通過の例を示す図である。 2レベルのHARホールの配列を照射したときに得られる2D小角X線散乱(SAXS)パターンの例を示す図である。
(概要)
以下に記載される本発明の実施形態は、様々なタイプの半導体デバイスおよび試験構造において形成された幾何学的フィーチャを分析するための改善された方法およびシステムを提供する。小角X線散乱(SAXS)法などのフィーチャを分析するためのX線散乱計測技術は、通常、波長が1オングストロームのオーダーのX線を使用する。このような波長は、半導体ウェハに製造されたHARホールやトレンチなどの高アスペクト比(HAR)フィーチャの測定に適している。フィーチャの幾何学的および他の特性の測定は、異なる角度でウェハから散乱されたX線の強度の分析に基づいて実行される。
いくつかの実施形態では、SAXSシステムは、前面と後面が互いに向き合うウェハなどの平面サンプルを移動するように構成された電動ステージを含み、前面は、HARフィーチャなどの様々なタイプのフィーチャを含む。追加的または代替的に、ウェハの裏面は、同様のおよび/または他のタイプのフィーチャでパターン化され得る。
いくつかの実施形態では、SAXSシステムは、X線のビームをウェハの裏面に向けるように構成されたX線ソースを含む。SAXSシステムは、ウェハの前面に面する少なくとも1つの検知器をさらに含み、検知器は、ウェハから散乱されたおよび/またはウェハを透過したX線の少なくとも一部を検知するように構成される。検知器は、ウェハの前面のHARフィーチャから散乱され、検知器によって受信されたX線の強度を示す電気信号を生成するように構成されている。
いくつかの実施形態では、SAXSシステムは、検知器から受信した電気信号に基づいて、問題のHARフィーチャの特性を測定するように構成されたプロセッサを備える。
いくつかの実施形態では、SAXSシステムは、X線ソースとウェハの裏面との間に配置され、X線ビームの特性を調整するように構成されたビーム調整組立体を備える。ビーム調整組立体は、入口開口、出口開口、およびチャネルが入口開口から出口開口に向かって先細りになるように配置された対向する内面を有するV字型チャネルを含む結晶を含む。ビーム調整組立体は、多層コ-ティングを施した湾曲した基板を有するX線ミラーをさらに含む。ミラーは、ビームを収集し、収集されたビームを第1のビーム直径でチャネルの入口開口に向けるように構成され、その結果、出口開口から放出されるビームは、第1のビーム直径よりも小さい第2のビーム直径を有する。
いくつかの実施形態では、SAXSシステムは、ビームを遮断し、遮断されたビームの空間特性を調整するために、X線ソースとウェハの裏面との間に配置される第1のスリットを含む。第1のスリットは、通常、互いに平行ではない第1および第2の可動ブレードを含む。第1および第2のブレードのエッジは、スリットを画定するように互いに近接して配置される。いくつかの実施形態では、プロセッサは、スリットの幅を調整することによってビームの空間特性を制御するように、第1および第2のブレードのエッジを移動するように構成される。
代替の実施形態では、SAXSシステムは、X線ソースとウェハの裏面との間に配置された第2のスリットを含む。第2のスリットは、それぞれが異なる幅を有する複数の散乱のないピンホールを備える可動ブレードを含む。プロセッサは、ビームの空間特性を制御するために、可動ブレードを動かすことによってビームを遮断するように選択された散乱のないピンホールを配置するように構成される。
いくつかの実施形態では、SAXSシステムは、光ビームをウェハの裏側に向け、検知器を使用してそこから反射された光放射を検知し、検知された光放射に応答して、検知器によって、ウェハの位置を示す信号を出力する。信号に基づいて、プロセッサは、ウェハと検知器との間の距離、および検知器に対するウェハの向きなどの位置パラメータを推定するように構成される。SAXSシステムはさらに、信号に応答してX線ビームとウェハとの間の配向をアライメントさせるようにプロセッサによって制御されるモ-タを備える。
いくつかの実施形態では、ウェハは単結晶材料を含み、検知器は、単結晶の格子面から回折された1つまたは複数のビームを測定するように構成される。SAXSシステムは、測定された回折に応答して、格子面に対する光学ゲージの位置を較正するように構成されたコントローラをさらに含む。回折X線に基づいて、コントローラはさらに、検知器に対するウェハの配向を測定し、そして少なくとも1つのモ-タを駆動して、測定された配向に基づいてウェハと入射X線ビームとの間の配向をアライメントさせるように構成される。他の実施形態では、プロセッサは、コントローラの代わりに、上記の操作の少なくともいくつかを実行することができる。
いくつかの実施形態では、SAXSシステムは、1つまたは複数のアクチュエータに取り付けられた検知器を含み、これらは、散乱X線に関する検知器を、ウェハの前面上のある範囲の位置にわたって移動し、散乱角の関数として透過X線の強度を測定するように構成される。この構成により、検知器要素のネイティブ解像度よりも高い角度分解能で透過X線の強度を測定できる。いくつかの実施形態では、プロセッサは、検知器によって生成された電気信号に応答して、アクチュエータを制御するように構成され、その結果、検知器の取得時間は、検知されたX線の強度に反比例する。
いくつかの実施形態では、検知器は、マトリックスの高さ軸および幅軸に沿って事前定義されたピッチを有するセンサ要素の2次元配列(マトリックスとも呼ばれる)を含む。アクチュエータは、高さと幅の両方の軸に沿って事前定義されたピッチよりも細かい解像度で位置の範囲にわたって検知器をステップ移動するように構成されている。
いくつかの実施形態では、SAXSシステムは、1つまたは複数のビームストッパを有するビームブロッカーを含む。ビームブロッカーは、X線を透過する材料で作られたマウントで構成されている。1つまたは複数のビームストッパはマウント内に保持され、X線ビームに対して少なくとも部分的に不透明な材料から作られている。ビームブロッカーは、1つまたは複数のビームストッパが角度範囲の一部のX線を遮断するが、ビームの遮断部分の周りの角度のX線はマウントを通過して検知器に至るように配置できる。一実施形態では、ビームストッパの少なくとも1つは、ビームストッパからのビームの散乱を防ぐために、滑らかなエッジを備えた楕円形状を有する。
開示された技術は、HARフィーチャから散乱されたX線ビームが検知器によって検知される角度分解能を改善することによって、HARフィーチャの小さな幾何学的変化を検知するためのSAXSシステムの感度を改善する。さらに、開示された技術は、高感度および高解像度での測定を維持しながら、SAXSシステムのフットプリントを低減するために使用され得る。
(システムの説明)
図1は、本発明の実施形態による、小角X線散乱(SAXS)システム10の概略図である。いくつかの実施形態では、SAXSシステム10は、簡潔にするために本明細書では「システム10」とも呼ばれ、以下に説明するように、散乱計測技術を使用して、サンプル、本例ではウェハ190上のフィーチャを測定するように構成される。
いくつかの実施形態では、ウェハ190は、単結晶、多結晶、アモルファス微細構造、またはウェハ190の異なる位置にある異なる微細構造または材料のようなそれらの任意の適切な組み合わせなどの、それらの任意の適切な組合せを含み得る。
いくつかの実施形態では、システム10は、高電圧電源ユニット(PSU)26によって駆動される、本明細書ではソース100と呼ばれるX線励起ソースを含む。いくつかの実施形態では、ソース100は、ウェハ190を通過するのに適切なエネルギーを有する、本明細書では簡潔のために「入射ビーム130」または「ビーム130」とも呼ばれるX線ビーム130を放出するように構成される。
いくつかの実施形態では、ソース100は、約150μm以下の有効スポットサイズで、0.1nm以下の波長を有する強力なX線放射を生成するように構成される。
いくつかの実施形態では、ソース100は、(a)固定固体陽極、(b)回転固体陽極、(c)液体金属、または(d)シンクロトロンなどであるがこれらに限定されない、任意の適切なタイプの高輝度X線ソースを含み得る。
いくつかの実施形態では、固定固体アノードベースのソースは、真空中の高エネルギー電子(>= 50keV)がモリブデン(Mo)または銀(Ag)または他の適切な金属元素または合金アノードに入射するマイクロフォーカスX線管を含む。このようなマイクロフォーカスX線管は、Incoatec GmbH(ハンブルグ、ドイツ)やrtwRONTGEN-TECHNIKDR.WARRIKHOFF GmbH(ベルリン、ドイツ)などの複数のサプライヤから提供されている。
いくつかの実施形態では、回転固体アノードマイクロフォーカスX線ソースは、MoまたはAgアノードまたは任意の他の適切な金属要素または合金を含み得る。適切な回転陽極X線ソースは、Bruker AXS GmbH(カ-ルスル-エ、ドイツ)などの複数のサプライヤから提供されている。
いくつかの実施形態では、液体金属X線ソースは、溶融状態のアノードを含む。アノードは、ガリウム(Ga)およびインジウム(In)の合金などの任意の適切な1つまたは複数の元素または合金を含み得る。適切な液体金属X線ソースは、例えば、eXcillum AB(キスタ、スウェーデン)によって提供される1つまたは複数のメタルジェット製品から選択することができる。
いくつかの実施形態では、シンクロトロンベースのソースは、Lyncean Technologies(Fremont、CA 94539、USA)によって提供されるものや、科学界によって開発されているものなど、コンパクトな電子加速器ベースのX線ソースを含む。
いくつかの実施形態では、ウェハ190は、表面191および192を有する半導体ウェハを含み得る。いくつかの実施形態では、表面191は、蒸着、リソグラフィ、エッチングなどの適切な半導体プロセスを使用して、表面191上および/またはウェハ190のバルクまたはその上に堆積された材料の中に生成される高アスペクト比(HAR)フィーチャを含む。これらの実施形態では、表面192は、通常、平坦で滑らかなままであり、HAR構造またはリソグラフィおよびエッチングによって生成された別のパターンを含まないことに留意されたい。表面191上のフィーチャの生成中に、例えば化学蒸着(CAV)プロセスを使用して、いくつかの層が表面192のいくつかの場所にブランケットとして堆積され得、表面192上にいくつかの意図しないトポグラフィを引き起こし得ることが理解される。
他の実施形態では、表面192の少なくとも一部は、前述のHARフィーチャおよび/または他の任意の適切なタイプのフィーチャでパターン化され得る。代替の実施形態では、表面192のみが前述のHARフィーチャを含み得る。
本開示の文脈において、および特許請求の範囲において、「アスペクト比」という用語は、深さと幅(例えば、円形ホールの場合は直径)の間、または高さと幅の間の算術比を指す。さらに、「高アスペクト比(HAR)」という用語は、通常、10を超えるアスペクト比を指す。HAR構造は、本明細書ではHARフィーチャとも呼ばれ、例えば、論理デバイス(例えば、マイクロプロセッサ)、またはNADフラッシュメモリデバイス、または動的ランダムアクセスメモリ(DRAM)デバイス、または任意の他のデバイス上に形成された様々なタイプの三次元(3D)構造を含み得る。
いくつかの実施形態では、HARフィーチャは、1つまたは複数のフィン電界効果トランジスタ(FET)、ゲートオールアラウンド(GAA)FET、相補型金属酸化膜半導体(CMOS)デバイスのナノワイヤFET、DRAMデバイスのアクセストランジスタ、3D NANDフラッシュデバイスの1つまたは複数のチャネル、DRAMデバイスの1つまたは複数の3Dコンデンサ、またはその他のタイプのHARフィーチャを含みうる。
いくつかの実施形態では、システム10は、プロセッサ22、インタフェース24、およびディスプレイ(図示せず)を含むコンピュータ20を備える。プロセッサ22は、以下に説明するシステム10の様々な構成要素および組立体を制御し、本明細書では検知器240と呼ばれる可動検知器組立体から受信した電気信号を処理するように構成される。インタフェース24は、プロセッサ22とシステム10の各コンポ-ネントと組立体の間で電気信号を交換するように構成される。
典型的には、プロセッサ22は、本明細書に記載の機能を実行するようにソフトウェアでプログラムされる、適切なフロントエンドおよびインタフェース回路を備えた汎用プロセッサを備える。ソフトウェアは、例えば、ネットワ-クを介して電子形式でプロセッサにダウンロードされるか、あるいは、代替的または追加的に、磁気、光学、または電子メモリなどの非一過性有形媒体に提供および/または格納され得る。
いくつかの実施形態では、ビーム130は、ソース100から放出され、X線に対して不透明な任意の適切な材料から作製された、本明細書では「組立体110」と呼ばれる、システム10のシャッタおよびスリット組立体を通過する。いくつかの実施形態では、プロセッサ22は、モ-タまたは圧電ベースのドライブ(図示せず)などの1つまたは複数の制御されたアクチュエータを使用して組立体110の位置を設定するように構成される。
いくつかの実施形態では、組立体110は、ビーム130の設計された光路から偏向されるX線放射を遮断することによってシステム10のユーザ安全性を改善するように構成される。いくつかの実施形態では、プロセッサ22は、ビーム130の発散および空間形状を制御するため、スリットの位置およびサイズを調整するように構成される。
いくつかの実施形態では、システム10は、ビーム130の発散、強度、およびスポットサイズを調整し、望ましくない散乱放射線を遮断するためにプロセッサ22によって制御される追加のスリットを含む。
いくつかの実施形態では、システム10は、本明細書で「組立体165」と呼ばれるビーム調整組立体を含み、その構造は、以下の図4に詳細に説明されている。いくつかの実施形態では、組立体165は、ミラー120およびスリット125などの光学要素を含む。ミラー120は、ソース100および組立体110からビーム130を収集し、ビーム130の光学特性を成形するように構成される。例えば、ミラー120は、コリメートされたビームまたは集束ビーム、あるいはそれらの組み合わせを生成する(例えば、x 方向にコリメートされ、y 方向に集束される)。スリット125は、発散角およびミラー120を出るビームのスポットサイズなどのビーム130の特性を調整するように構成される。
いくつかの実施形態では、ビーム調整組立体165は、光学要素の表面上の空気と電離放射線との間の相互作用によって引き起こされる1つまたは複数の前述の光学要素の劣化を防ぐために、真空チャンバを含み得る。
いくつかの実施形態では、ビーム調整組立体165は、複数の構成を有することができ、そのいくつかは、以下の図4に詳細に説明されている。例えば、プロセッサ22は、ビーム調整組立体165に対し、第1のビーム130を、小さな空間範囲(すなわち、スポットサイズ)を有するコリメートされたビームとして成形するように指示することができる。プロセッサ22は、ウェハ190の隣接するダイ間のスクライブラインに配置された試験構造に対して計測が実行される論理アプリケーションの場合のように、小さなサイズの試験パッド上に配置されたフィーチャを測定するためにこのビーム構成を使用することができる。
別の例では、ウェハ190は、(例えば、メモリブロック内に)繰り返しフィーチャの大きな配列を有するメモリデバイス(例えば、DRAM、NADFフラッシュ)、またはメモリセクションを有する論理デバイスを含み得る。いくつかの実施形態では、プロセッサ22は、ダイの選択されたメモリブロックに対し、第1のビーム130と比較してより大きなスポットサイズおよびより高い強度を有する第2のビーム130を適用できる。プロセッサ22は、それぞれのSAXSシステム(例えば、上記のシステム10、30、または40)の解像度を増加させるため、ミラー122を交換して、検知器240のアクティブ表面上にビーム130を集束させ得る。
いくつかの実施形態では、システム10は、本明細書ではスリット組立体140とも呼ばれるビームリミッタを含み、これは、以下の図5と図6に詳細に記載される1つまたは複数のスリットおよび/または可動ブレードを含む。スリット組立体140は、ウェハ190の表面192上の入射ビーム130の位置および/またはスポットサイズおよび/または形状および/または収束または発散角を制御および/または改良するように構成される。
いくつかの実施形態では、システム10は、y 軸周りの、そして表面191を中心とする回転軸を有する電動回転ステージ(図示せず)を備える。いくつかの実施形態では、ソース100、ビーム調整組立体165、および1つまたは複数のスリット組立体110および140は、モーションコントローラおよび/またはプロセッサ22によって制御される回転ステージに取り付けられている。
いくつかの実施形態では、プロセッサ22は、システム10の測定条件を改善するために、入射ビーム130とウェハ190の表面192の法線との間の角度を調整または較正することができる。
いくつかの実施形態では、システム10は、その上にウェハ190が取り付けられたチャック200を備える。チャック200は、ウェハ190を機械的に支持し、ビーム130を領域の大部分(例えば、図1に示されるようにウェハ190のベベルの少なくとも一部を除く)、または表面192の領域全体に向けることを可能にするように構成される。
いくつかの実施形態では、チャック200は、リング形状のウェハ支持体を含み得るが、追加的または代替的に、チャック200は、3点運動学的マウントなどの他の任意の適切な設計を含み得る。
いくつかの実施形態では、システム10は、マウント、例えば、チャック200が取り付けられた、本明細書では「ステージ210」と呼ばれる電動式xyzχωφステージを備える。ステージ210は、システム10のxyz座標系においてプロセッサ22によって制御され、入射ビーム130がウェハ190の表面192に直接衝突することを可能にするために、オープンフレームとして(すなわち、中央に材料がない)設計される。
いくつかの実施形態では、ステージ210は、入射ビーム130に対するウェハ190の所望の空間位置を設定するように、ビーム130に対してx およびy 方向にウェハ190を移動するように構成される。ステージ210は、表面192上の所望の位置、またはウェハ190上の他の任意の適切な位置でのビーム130の焦点を改善するため、z 軸に沿ってウェハ190を移動するようにさらに構成される。ステージ210は、それぞれx 軸およびy の周りにウェハ190の表面192に平行な回転χおよび/またはωを適用し、そしておよびウェハ190の表面192に垂直なz 軸の周りに方位角回転φを適用するようにさらに構成される。
いくつかの実施形態では、プロセッサ22は、ビーム130を測定される構造内の選択されたフィーチャとアライメントさせるように、事前定義された方位角φを選択するように構成される。例えば、プロセッサ22は、ウェハ190上に一次元(1D)に配置された線構造に対してビーム130をアライメントさせるように、第1の方位角φ1(図示せず)を選択することができる。さらに、プロセッサ22は、ウェハ190上の長方形または六角形の格子などの2次元(2D)パターンで配置されたホールまたはビアのパターンまたは配列に対してビーム130をアライメントさせるため第2の方位角φ2(図示せず)を選択することができる。
代替の実施形態では、ウェハ190は、プロセッサ22がソース100、および前述の組立体(例えば、スリット組立体110、および組立体165および140)を移動できるように、(ステージ210の代わりに)適切な固定器具に取り付けられる。 X線ビームは、ウェハ190の任意の1つまたは複数の所望の位置に向けられる。他の実施形態では、システム10は、1組のステージ(例えば、ウェハ190のためのχωφステージ、および上記の組立体のためのxyzステージ)などの他の適切な1組のマウントのセットを含み、そして、およびプロセッサ22は、その1組のステージを制御することによって、ビーム130に対して表面191および192を移動するように構成される。
いくつかの実施形態では、入射ビーム130は、表面192に衝突し、ウェハ190を通過し、ウェハ190の表面191に形成された前述のHARフィーチャから散乱される。ウェハ190の代替構成では、表面192は、上記のように、表面191のパターン化されたHARフィーチャに追加して、またはその代わりにHARフィーチャを含み得る。このウェハ構成では、入射ビーム130は、表面192上にパターン化されたHARフィーチャから散乱されてもよい。いくつかの実施形態では、システム10の検知器240は、以下で詳細に説明するように表面191および192の両方のHARフィーチャから散乱されたX線光子を検知するように構成される。
いくつかの実施形態では、入射ビーム130は、ポイント111で、ウェハ190の表面192に垂直に、またはウェハ190に対して他の任意の適切な角度で衝突し得る。一実施形態では、入射ビーム130の一部は、ウェハ190を横切るときに吸収され、そして透過ビーム220は、入射ビーム130と同じ方向でウェハ190の表面191を出る。前述の1つまたは複数のHARフィーチャから散乱された追加のビーム122は、ウェハ22の表面191に対して透過ビーム130と異なる角度で出る。
いくつかの実施形態では、検知器240は、検知器240の表面224上の1つまたは複数の領域226で衝突するビーム222のX線光子を検知するように構成されている。検知器240は、任意の適合するタイプの1つまたは複数の検知器、例えば、限定されないが、電荷結合素子(CCD)、多数の供給業者によって提供されるCMOSカメラ、または1D Mythen検知器と2D PilatusおよびEigerシリーズの検知器を供給する、DECTRIS Ltd.(バーデン、スイス)によって製造された、シリコン(Si)またはテルル化カドミウム(CdTe)検知層から作られるアレイ検知器、を含みうる。
いくつかの実施形態では、検知器240は、その検知効率を向上させるために、所定の運動プロファイルに基づいて検知器240を移動および/または回転させるように構成された高精度電動並進および/または回転ステージ(図示せず)に取り付けることができる。検知器240のステージおよび運動制御の例示的な実施形態は、以下の図10において詳細に説明される。
いくつかの実施形態では、上述の検知器は、本明細書ではビーム222と呼ばれる、ウェハ190から散乱されたX線ビーム、を検知するように構成され、そしてウェハ190のHARフィーチャからの小角散乱強度分布を測定するために必要な角解像度を提供するように、十分に小型の敏感な要素を含む。
いくつかの実施形態では、システム10は、ウェハ190内にパターン形成された前述のフィーチャの特性を正確に測定するために、システム10の較正および設定で使用される1つまたは複数の較正ゲージ215を備える。少なくとも1つの較正ゲージ215は、以下に詳細に説明されるように、ウェハ190の所与の位置の既定の基準に対する高さおよび傾きを示す電気信号を生成するように構成される。電気信号は、インタフェース24を介して、分析のためにプロセッサ22に送信される。
いくつかの実施形態では、システム10は、2つの較正ゲージ215を備えることができる。典型的には平坦で、HARフィーチャまたは他の種類のパターンを有さない表面192に面する第1の較正ゲージ215、そして典型的にはパターン化され、また上記のHARフィーチャを有してもよい表面191に面する第2の較正ゲージ215。図1の構成例では、第2の較正ゲージは選択肢であり、したがって破線の長方形として示されている。
他の実施形態では、システム10は、較正ゲージ215の他の適切な構成、例えば、第2の較正ゲージのみが表面191に面し、または、表面192および191にそれぞれ面する前述の第1および第2の較正ゲージ215を有する。
いくつかのケ-スでは、較正ゲージ215は、ウェハ190のパターンのある表面(例えば、表面191上の)と平坦表面(例えば、パターンの無いまたはブランケットな表面192のような)の高さおよび傾斜に対して異なる応答をする可能性があり、従って、高さと傾斜の測定値の精度を向上させるために、事前に較正ステップを必要とし得る。
いくつかの実施形態では、プロセッサ22は、前述の第2の較正ゲージ215から、パターンを有する表面191の高さおよび傾斜を示す信号を受信することができる。パターンは、第2の較正ゲージによって実行される測定に影響(例えば、偏位を誘導する)を及ぼし得る。これらの実施形態では、プロセッサ22は、入射ビーム130とウェハ190の表面192の法線との間の角度を調整または較正して、パターン誘起偏位を補償し、したがって、システム10により実行される測定の品質を改善するように構成される。
較正ゲージ215が表面192、または他のパターン化されていない表面の高さおよび傾斜を測定するとき、通常、測定値にシフトはないことに留意が必要である。
いくつかの実施形態では、本明細書では光学ゲージとも呼ばれる較正ゲージ215は、光源およびセンサ(図示せず)、または任意の他の適切な構成を含み得る。較正ゲージ215は、x 軸およびy 軸の選択された座標において、局所高さ(たとえば、z 軸に沿った距離)および表面192の傾斜(たとえば、xyz座標系のx-y 平面に対する)を測定するように構成される。これらの実施形態では、光源およびセンサは、任意の適切な波長、例えば可視、赤外線(IR)、または紫外線(UV)で動作するように構成されているが、通常はX線レンジ内ではない。
いくつかの実施形態では、プロセッサ22は、較正ゲージ215から受信した電気信号に基づいて、システム10のディスプレイ上に、表面191および192または任意の他の選択されたウェハ10の平面の、xyz座標系のx-y 平面などの任意の適切な基準に対する高さおよび傾斜を示す3Dマップを計算し表示するように構成される。プロセッサ22は、表面192上で測定された場所と、例えば2つ以上の測定された場所の間の高さと傾斜を補間することにより、測定された場所の間で計算される追加の場所と、に基づいて3Dマップを計算することができる。
いくつかの実施形態では、プロセッサ22はさらに、任意のX線ベースのアライメント手順のための1つまたは複数の開始位置を決定するように構成される。アライメント手順は、1つまたは複数の対象散乱構造のためのビーム130のゼロ角度(本明細書ではω0およびχ0と呼ばれる)を決定するために、システム10によって使用される。
いくつかの実施形態では、ウェハ190の(a)表面191および192、および(b)対象散乱フィーチャ(例えば、HAR構造)の入射ビーム130に対する方向性を独立して測定することによって、プロセッサ22はウェハ190の表面191に対する散乱フィーチャの方向性を計算するように構成される。この計算された方向性は、3D NANDフラッシュメモリのチャネルホールのようなHAR構造を測定するために特に重要である。
いくつかの実施形態では、ウェハ190は、典型的には、結晶を構成する原子の規則的配置を有する結晶上に成長する。続いて、ウェハ190は結晶からスライスされ、その結果、表面は、本明細書でウェハ方向性と呼ばれるいくつかの相対的方向のうちの1つにアライメントされる。これは結晶シリコンの成長面とも呼ばれる。方向性は、ウェハ190の電気的特性にとって重要である。異なる平面は、原子および格子の異なる配置を有し、これは、ウェハ内に生成される回路内で電流が流れる方法に影響を与える。シリコンウェハの方向性は、ミラー指数を用いて典型的に(100)、(111)、(001)および(110)のように分類される。
いくつかの実施形態では、システム10は統合された光学顕微鏡50を含むことができ、それはナビゲーションおよびパターン認識、ならびに、光学検査および/または計測および/またはウェハ190上のパターンおよび他のフィーチャを精査するためなどの、他の様々な用途に使用することができる。
いくつかの実施形態では、光学顕微鏡50はコンピュータ20に電気的に接続され、対象のパターンを示す信号を生成するように構成され、その結果プロセッサ22はパターン認識または前述の用途の他の任意のものを実行できる。
追加的または代替的に、システム10は、システム10に相補的な計測または検査機能を提供するように構成された、他の適切な種類の統合されたセンサ(図示せず)を含むことができる。
いくつかの実施形態では、システム10は、XRD検知器54および56などの1つまたは複数のX線回折(XRD)検知器を含み、それらは、ウェハ190の表面191および192に対して実質的に垂直な平面から回折されたX線光子を検知するように構成される。
ここで、システム10の上面図である挿入図52を参照する。いくつかの実施形態では、XRD検知器54および56は、結晶格子のいくつかの面から回折したX線光子に基づいて、回折信号を生成するように配置され、その回折信号は、以下に説明するように、ウェハアライメントに使用できる。XRD検知器54および56の少なくとも一方から受信した信号は他の用途にも使用することができる。
挿入図52に示されるようなXRD検知器54および56、光学顕微鏡50および較正ゲージ215(選択肢としての)の構成は、概念を明確にするために単純化されており、そして例として提供されている。他の実施形態では、システム10は、センサ、検知器、顕微鏡および他の適切な構成要素およびサブシステムの任意の他の適切な構成および配置を含み得る。
ここで図1の側面図に戻る。いくつかの実施形態では、プロセッサ22は、ウェハ190の表面191および192に対して実質的に垂直な平面からのラウエ回折の強度を示す信号をXRD検知器54および56から受信し得る。例えば、結晶面(555)は、本明細書でSi(001)と称される、ミラー指数(001)を有するシリコンウェハの表面に対して垂直である。追加的または代替的に、プロセッサ22は、検知器54、56および240のうちの少なくとも1つから、ウェハ190の他の任意の格子面から回折されたビーム222の第1の部分の強度を示す信号を受け取ることができる。これらの信号は本明細書ではまた、回折信号と呼ばれる。
いくつかの実施形態では、プロセッサ22は、入射ビームおよび/または直接ビームの単結晶ウェハの格子面に対する方向性を決定するため、表面191に対して実質的に垂直な結晶面から回折し、XRD検知器54および56によって検知されるX線を使用するように構成される。
他の実施形態では、検知器240はさらに、前述のラウエ回折から回折されたX線光子を検知し、検知されたX線光子の強度を示す信号を生成するように構成される。 {本発明はそのケ-スではないが、すべての回折および散乱X線光子を検知するための単一の検知器を使用する周辺技術を阻止するため、この実施形態をブロックエンジニアリングに追加した}
いくつかの実施形態では、プロセッサ22は、本明細書では散乱信号とも呼ばれる、表面192を透過し表面191のHARフィーチャから散乱したビーム222の一部の強度を示す信号を検知器240から受信する。
代替の実施形態では、較正ゲージ215は、ウェハ190の表面191および192に実質的に垂直な平面からのラウエ回折を測定し、そして測定されたラウエ回折の強度を示す信号、ここでは代替回折信号と呼ぶ、を生成するように配置された1つ以上のX線検知器を含み得る。
いくつかの実施形態では、上述の1つまたは複数の回折信号に基づいて、プロセッサ22は、ステージ210に対し、ウェハ190にωおよびχ回転を印加するように指示するように構成される。プロセッサ22は、検知器240によって検知された回折X線の最大強度に対応するウェハ190の位置を、ウェハ190内の結晶格子に対するビーム130の傾斜角を確定するために使用することができる。
これらの実施形態では、プロセッサ22は、回折条件を満たす2つ以上の方位角での測定値を使用して、結晶格子面とウェハ190の表面との間の傾斜角を確立するように構成される。さらに、プロセッサ22は、非X線ベースのゲージのための較正技術として、表面191および192の方向性を決定するために、ビーム130にX線回折(XRD)方式を適用することができる。例えば、較正は、結晶格子と表面191および192との間の既知の傾斜角を有する、基準ウェハ、または、キャリアウェハまたはツール上に取り付けられた任意の適切な基準構造を測定することによって実行され得る。
これらの実施形態では、検知器240は、限定されないが、(a)シリコン、ゲルマニウム、またはCdTeまたは他の適切な材料から作られた1Dダイオードの配列、および(b)CCD、CMOSセンサ、PINダイオード、またはハイブリッド画素検知器技術に基づく、2D X線直接または間接検知カメラのような様々な適切なタイプの検知要素を含み得る。
代替実施形態では、システム10は、較正ゲージ215に加えて、エネルギー分散型X線(EDX)検知器組立体(図示せず)を含むことができる。EDX検知器組立体は、シリコンベースまたはゲルマニウムベースの固体EDX検知器と、単一チャネルまたは複数チャネルを有する電子分析器とを備える。 EDX検知器組立体は、例えばウェハ190の点111から、またはシステム10を較正するために使用される標準ウェハの所定の場所から、放出されるX線の蛍光を測定し、点11で測定された蛍光X線の強度を示す電気信号を生成するように構成される。
電気信号に基づいて、プロセッサ22は、点111の第1の位置と、第1の位置と、較正ゲージ215によって同時に取得された第2の位置と、の間の偏位とを決定するように構成される。
いくつかの実施形態では、X線源100、および線源100とウェハ190との間の少なくともいくつかのX線光学系は第1のステージに取り付けられ、ウェハ190は第2のステージ(例えばステージ210)に取り付けられる。光学顕微鏡50および光学ゲージ215のうちの少なくとも一方が第3のステージ上に取り付けられている。蛍光X線(XRF)ベースの信号と光学ベースの信号とを比較することによって、プロセッサ22は、例えば、光学顕微鏡50の光学パターン認識カメラとX線ビーム130との間の空間偏位を識別し、そしてシステム10の前述のステージ間の任意のミスアライメントを識別するように構成される。
いくつかの実施形態では、プロセッサ22は、受信した電気信号に基づいて、送りネジ誤差およびステージ210のx 軸とy 軸との間の非直交性など、ステージ210における運動誤差を推定するように構成される。さらに、蛍光信号に基づいて、プロセッサ22は、システム10の座標系における1つ以上の点とそれぞれの点のステージ210上の実際の位置との間の偏位を推定することにより、ステージ210を較正するように構成され、この較正はステージマッピングとも呼ばれる。
いくつかの実施形態では、システム10は、上記のエネルギー分散型X線(EDX)組立体に加えて、またはその代わりに、適切な基準ウェハ(図示せず)を通過するX線ビーム、本明細書では直接ビームと呼ばれる、の減衰に基づく較正方式を含む。適切な基準ウェハは、直接ビームの強度を数十パ-セント減衰させるように適応されたパターン化フィーチャを含むことができ、それによって検知器240は影響を受ける(例えば、飽和)ことなく直接ビームの光子を検知することができる。例示的な実施形態では、標準ウェハは、限定されないがタングステン(W)、タンタル(Ta)、金(Au)または銀(Ag)などの、様々な適切な元素または合金の任意の適切な厚さ、たとえば約50μmの様々なパターンを含み得る。
いくつかの実施形態では、プロセッサ22は、ウェハ190などの製品ウェハ上の構造の測定中にビーム130とウェハ190とをアライメントするために、またはシステム10を較正するために、例えば保守作業を実行した後にシステムを製造での使用に向け準備するために、較正ゲージ215を使用し得る。
上述の代替の実施形態では、システム10は、表面191から検知された信号に基づいてウェハ190の傾きを測定するように、ウェハ190の反対側に取り付けられた少なくとも1つの較正ゲージ215を含むことができる。一実施形態では、プロセッサ22は、ウェハのブランケット領域とパターン化領域で測定された傾斜角の間の偏位を較正するように構成される。
この実施形態では、プロセッサ22は較正ゲージ215を位置決めして、表面191の端部に隣接して位置する第1の点、これは一般にブランケットである(すなわちパターンなし)が、に光ビームを配向し、そしてx 軸およびy 軸におけるウェハの傾きを測定する。続いて、プロセッサ22は、較正ゲージ215を位置決めして、第1の点に最も近接した(例えば、10mm~20mm)パターン上の第2の点に光ビームを配向し、そしてx 軸およびy 軸におけるウェハの傾きを測定する。
いくつかの実施形態では、第1および第2の点における傾斜測定値に基づいて、プロセッサ22はブランケット表面とパターン化表面との間のオフセットを計算する。ウェハは通常剛性であり、実際の傾斜角度は10mmまたは20mmの距離内では変化しないことに留意されたい。オフセットは、ウェハ190または他の任意のタイプの測定されたウェハのブランケット表面およびパターン化表面上の傾斜測定値の間の較正係数として使用することができる。いくつかの実施形態では、プロセッサ22は、光ビームのスポット寸法を、ウェハエッジ付近のブランケット表面のみを照明するのに十分に小さく、しかしパターンの様々なフィーチャにわたって傾斜測定値を平均するのに十分な程大きく設定することができる。
いくつかの実施形態では、ウェハ190は単結晶材料を含み、X線回折(XRD)検知器54および56の少なくとも一方は単結晶材料の格子面からのビーム220の回折を測定するように構成される。いくつかの実施形態では、測定された回折に応答して、プロセッサ22は、格子面に対する較正ゲージ215の適切なパラメータ(例えば方向性)を較正するように構成される。
システム10によって実行される、ウェハ190のHAR構造などのフィーチャの測定を向上させるための較正技術を説明するために、較正ゲージ215の特定の構成を概略的に図1に示す。しかし、本発明の実施形態は、決してこの特定の種類の例示的な構成に限定されるものではなく、上述の較正ゲージ215の原理は、任意の適切な構成を使用して実施することができる。
一実施形態では、システム10は、本明細書でビーム遮断器230と呼ばれる、X線不透過性または部分的に不透過性の材料から作製されたビーム遮断組立体を備える。
ビーム遮断器230は、ウェハ190と検知器240との間でシステム10に取り付けられ、ビーム220の少なくとも一部が検知器240を照射するのを阻止するように構成される。いくつかのケ-スでは、入射ビーム130の少なくとも一部はウェハ190を直接透過し得る。
いくつかの実施形態では、ビーム遮断器230は、入射ビーム130の空間的広がりに匹敵する角度範囲にわたって、直接透過した入射ビームを部分的に遮断するように位置決めされてもよい。
ビーム遮断器の例示的な実施形態は、以下の図7Aおよび図7Bに詳細に示されている。
いくつかの実施形態では、ビーム遮断器230の不透過性レベルおよび形状は、以下の図8A、図8B、図9Aおよび図9Bに示すように、検知器240によって生成される信号に影響を与える。
いくつかの実施形態では、検知器組立体は、単一の検知器、または領域226の周りに配置された検知器の配列を含み得る。ビーム検知器は、2D構成(すなわちエリア検知器)または1D構成(すなわち線形検知器)を有し、そして、X線光子を計数することができる。検知器240は平坦であってもよく、またはビーム222および220に向かって傾斜した弧のような任意の適切な形状を有してもよい。捕獲された光子に応答して240は電気信号を発生するように構成される。検知器240の一実施例は、以下の図10に詳細に示されている。
いくつかの実施形態では、システム10は、ウェハ190と検知器240との間に取り付けられ、ビーム220の望ましくない空気からの散乱を低減するように構成された真空チャンバ280を備える。いくつかの実施形態では、真空チャンバ280は各端部にX線を透過する窓を有する金属管からなり、それによりビーム220および222はウェハ190と検知器240との間を通過することができる。
いくつかの実施形態では、システム10は、真空チャンバ280内の真空レベルを制御し、それによって検知器240の活性表面に衝突するX線光子の信号対背景比(SBR)を改善するように、プロセッサ22によって制御される、粗引きポンプなどの適切な真空ポンプを備える。
いくつかの実施形態では、システム10は、ウェハ190の前述のフィーチャ上の構造的(例えば寸法および形状)ならびに形態的パラメータを測定するように構成される。例えば、プロセッサ22は検知器240から受信した電気信号に基づいて、限定されないが、パターン構造の高さ、深さ、幅および側壁角度、ならびにウェハ190を横切る任意の場所における膜の厚さおよび密度など、多種多様なパラメータを測定するように構成される。
いくつかの実施形態では、プロセッサ22は、検知器240から受信した電気信号を分析するためのモデルベースのソフトウェアを含む。プロセッサ22は、共通の強度正規化係数を有するすべての入射角についてX線散乱をシミュレートするために、単一構造モデルを使用する。続いて、プロセッサ22は例えば、適合度(GOF)パラメータの数値解析に基づいて、測定された強度分布とシミュレーションによる強度分布との間の相関を比較する。
いくつかの実施形態では、プロセッサ22は、例えば差分進化法(DE)などのアルゴリズムを使用することによってモデルのパラメータを反復的に調整して、GOFパラメータを最小化し、最適モデルパラメータを取得するように構成される。
いくつかの実施形態では、プロセッサ22は、相補的技法によって測定された値、例えば、限界寸法走査型電子顕微鏡(CD-SEM)によって測定された対象フィーチャの上層における幅をモデルパラメータに導入することによってモデルパラメータ間の相関を低減し得る。
いくつかの実施形態では、システム10は、小角X線散乱(SAXS)以外の任意の適切な参照技法、例えば原子間力顕微鏡(AFM)を使用して外部的に特徴付けられた、周期的フィーチャの配列を有する1つまたは複数の較正タ-ゲットを含み得る。プロセッサ22は、システム10の前述の組立体を較正するため、および(a)ビーム130とウェハ190との間、および(b)ビーム222と検知器240との間のアライメントのための基準として較正タ-ゲットを使用することができる。
いくつかの実施形態では、上記のSAXS構成およびソフトウェアアルゴリズムに基づいて、システム10は、ウェハ190にわたって対象フィーチャ内の不規則性パラメータを検知するように構成される。それは例えば、マルチパターニングリソグラフィプロセスにおいて生じる可能性があるピッチウォーキングエラー、または3D NANDメモリにおけるエッチングプロセスに起因した、チャネルホールの傾斜およびねじれ、のような側壁の水平および垂直の粗さおよびピッチの変化である。
システム10の構成は、本開示の実施形態によって対処される特定の問題を例示し、そのようなシステムの性能を向上させる際のこれらの実施形態の適用を実証するために、例として示される。しかしながら、本発明の実施形態は決してこの特定の種類の例示的なシステムに限定されるものではなく、本明細書に記載の原理は、任意の適切な種類の電子装置において、フィーチャを測定するために使用される他の種類のX線システムにも同様に適用できる。
図2は、本発明の他の実施形態によるSAXSシステム30の概略図である。いくつかの実施形態では、SAXSシステム30、本明細書では簡潔のために「システム30」とも呼ばれるが、の構成は、入射ビーム130に対して任意の適切な角度(例えば、45度)でウェハ190を傾斜させた、本明細書では回転されるとも呼ばれるが、システム10の構成と同様である。
いくつかの実施形態では、プロセッサ22は、ステージ210に対し、ウェハ190を、y軸周りの方位角回転ωのように、ウェハ190の平面内の傾斜軸の周りに傾斜させ、そして前述のスリット組立体の少なくとも1つが、傾斜軸に平行に配向するように命令するように構成される。
いくつかの実施形態では、システム30は、低アスペクト比(例えば、10より小さい、幅に対する高さの比)を有するウェハ190の構造を測定するように構成される。上述のように、プロセッサ22は、入射ビーム130に対してウェハ190を回転させるように、あるいは代替的に、ウェハ190に対して入射ビーム130を回転させるように構成される。プロセッサ22は、数十度の範囲にわたってy軸周りの回転、本明細書ではω回転と呼ぶが、を実行するように構成される。
いくつかの実施形態では、回転角の範囲は、対称であり得、例えば、上述の図1に示されるように、ウェハ190の表面に対して±50度であり得る。代替の実施形態では、プロセッサ22は、例えばステージ210にウェハ190を上記範囲内の所望の角度に回転させるように指示することによって、非対称回転(例えば、-10度~+60度)を実行することができる。
いくつかの実施形態では、プロセッサ22は、例えばビーム130に対してウェハ190の方位角を回転させることによって、複数の平面内の1つの構造のプロファイルを測定するように構成されている。本開示の文脈および特許請求の範囲において、「プロファイル」という用語は、測定されたフィーチャの単一の側壁の形状、またはその深さもしくは高さに沿った2つの隣接する側壁間の幅の変化、または深さの関数としてのホールの中心のシフトを指す。円形断面ではなく楕円形などのホールのさらなる非対称性は、通常、異なる方位角およびχ軸での測定を必要とするであろう。
例えば、プロセッサ22は、異なる方位角で実行された一連の強度測定値を使用して、選択されたx-y 平面内のフィーチャのプロファイルを測定することができる。いくつかの実施形態では、プロセッサ22は、3D NANDメモリデバイスのチャネルホールの直径、またはロジックデバイスのローカル相互接続構造のビアおよび/または金属線の幅を測定するためにこの技法を実行することができる。
一実施形態では、ビーム遮断器230は検知器240に近接して配置される。別の実施形態では、ビーム遮断器230はウェハ190に近接して配置されてもよい。
図3は、本発明の別の実施形態によるSAXSシステム40の概略図である。いくつかの実施形態では、本明細書では簡潔のために「システム40」とも呼ぶ。SAXSシステム40の構成は、システム10の構成と同様であるが、ビーム遮断器230はウェハ190に近接して配置されている。
いくつかの実施形態では、プロセッサ22は、検知器240によって検知される望ましくない背景および迷走散乱のレベルを低減するように、ビーム220の経路に沿った任意の適切な位置にビーム遮断器230の位置を制御するように構成される。
いくつかの実施形態では、プロセッサ22は、ビーム遮断器230の位置をビーム220の経路に沿った1つ以上の既定の取り付け場所に設定することができる。追加的にまたは代替的に、プロセッサ22は、ウェハ190と検知器240との間の任意の適切な位置にビーム遮断器230を移動させて保持するように構成される、電動ステージ(不図示)を制御することによってビーム遮断器230の位置を調整することができる。
ビーム遮断器230および、前述のステージなどの関連する組立体の構造は、例えば以下の図7Aに詳細に記載されている。さらに、ウェハ190の対象フィーチャを測定する際のビーム遮断器230の機能および用途に関する実施形態は、以下の図8Bおよび図9Bに詳細に記載されている。
システム10、30、および40の構成は例として提供される。しかしながら、本発明の実施形態は決してこの特定の種類の例示的なシステムに限定されず、本明細書に記載の原理は、限定されないが、ウェハの同じ側に配置されたX線源組立体と検知器組立体の両方を有する反射型X線計測システムのような他の種類の計測システムにも同様に適用できる。
図4は、本発明の一実施形態によるビーム調整組立体165の概略図である。ビーム調整組立体165は、上述のシステム10、30、および40のいずれかにおいて、あるいは、ウェハ190または他のタイプのウェハに生成されたフィーチャを測定するためにX線ビームを適用する、計測システムの他の任意の適切な構成において使用され得る。
いくつかの実施形態では、ビーム調整組立体165は、本明細書で組立体110、300、および320と呼ばれる、複数組のスリット組立体を備える。組立体110は、図1~図3に示すようにビーム調整組立体165の外部にあってもよく、または図4に示すようにその中に組み込まれてもよい。同様に、組立体320はビーム調整組立体165の一部または外部にあり得る。
上記の図1で説明したように、ビーム調整組立体165のスリット組立体は、ビーム130の設計された光路からそれた望ましくない散乱X線放射を遮断するように、および/またはビーム130の発散、強度およびスポット寸法を調整するように構成される。
いくつかの実施形態では、ビーム調整組立体165はミラー120を含み、ミラー120は、上の図1で説明したように、ビームがスリット組立体110を通過した後にビーム130の光学特性を形成するように構成される。
いくつかの実施形態では、ミラー120は、例えばタングステン(W)、モリブデン(Mo)またはニッケル(Ni)などの重元素とカ-ボンやシリコンなどの軽元素の薄い(たとえば1ミクロン程度の)層を交互に重ねた多層124でコ-ティングされた湾曲基板122を備える。このようなX線光学系ミラーは、Incoatec GmbH(ドイツ、ハンブルグ)、AXO DRESDEN GmbH(ドイツ、ドレスデン)またはXenocs(フランス、Sassenage)などのいくつかの供給元によって提供されている。いくつかの実施形態においては、ミラー120の構成は、2つの方向(x、y)にコリメートビームを提供するように適合されている。他の実施形態では、ミラー120は、ビーム130を一方向(例えば、x方向)にコリメートし、ビーム130を直交方向(例えば、y方向)に集束させるように構成される。
いくつかの実施形態では、ミラー120は、最小のスポット寸法を得るように表面191上にビーム130を集束させるように構成される。他の実施形態では、検知器240にX線ビームを集束させることにより、例えばHAR構造の撮像において、検知器240に検知されるX線ビームの改善された角度分解能を、システム10に提供することができる。
2Dコリメートビームの場合、ビーム調整組立体165は、線源100から収集された立体角(すなわち、二次元角)を増大させ、そしてビーム130のX線束を増大させるように、互いに向き合う2つの光学系、例えば2つのミラー120を含み得る。
いくつかの実施形態では、ビーム調整組立体165は、プロセッサ22によって制御される1つまたは複数の電動アクチュエータに取り付けられた、ミラー120などの複数の多層ミラーの任意の適切な構成を含み得る。プロセッサ22は、ビーム130の光学特性を調整することにより最適な測定条件を得るように、ビーム調整組立体165の各ミラー120の構成を調整し得る。
いくつかの実施形態では、ビーム調整組立体165は、ゲルマニウム(Ge)の単結晶または他の任意の適切な材料から作製された結晶310を備える。結晶310は、チャネル部312が入口開口部316から出口開口部318まで先細りになるように配置された、入口開口部316、出口開口部318、および対向する内面314および315を含むV字形のチャネル312を有する。
いくつかの実施形態では、ビーム130はスリット組立体110を通過してミラー120に入り、続いてスリット組立体300および入口開口部316を通過する。その後、ビーム130は内面314に衝突し、その後内面316に衝突し、出口開口部318を通って結晶310を出る。
いくつかの実施形態では、ビーム調整組立体165は分散要素として機能し、さらにビーム調整組立体165のスリット組立体320を出た後にビーム130のスポット寸法を縮小するように構成されるビーム圧縮光学系として機能する。ビーム調整組立体165の構成は、ビーム圧縮を可能にし、さらに、平行面のチャネルを有する結晶、または1つ以上の狭い開口を有する1つ以上のスリットの使用のような、代替技術と比較して光束の損失を低減する。
図4の構成例では、スリット組立体110、300、および320は、ミラー120および結晶310の前後に取り付けられて、上述の光路に沿ったビーム130の整形を改善する。他の実施形態では、ビーム調整組立体165は、線源100とミラー120との間、および/またはミラー120と結晶310との間、および/または結晶310とスリット組立体140との間に介在するスリット組立体の他の適切な構成、または任意のシステム10、30および40の任意の他の要素または組立体を含み得る。例えば、スリット組立体320は、組立体165の構成から取り除かれてもよく、システム10、30および40のうちのいずれの構成からも除外されてもよい。
図5は、本発明の一実施形態によるスリット組立体140の概略図である。図1~図3に示されるように、本明細書ではビームリミッタとも呼ばれるスリット組立体140が、ビーム130を遮断するように、線源100とウェハ190の表面192との間に配置されている。
いくつかの実施形態では、スリット組立体140は、スリット125を画定するように互いから所定の距離で並進軸522に沿って配置された2つ以上の可動プレート520を備える。プレート520間の距離は、例えばプロセッサ22によって制御可能であり得る。あるいは、プレート520間の距離は、例えばプレート520を互いに対して動かさないことによって、または互いから所望の距離に配置された静止プレートを有する適切なタイプのスリット512を選択することによって、一定であってもよい。
いくつかの実施形態では、スリット組立体140は、2つ以上の可動ブレード510Aおよび510Bを備え、それらは、互いに平行ではなく、そして互いに近接して配置されるそれぞれの端部514Aおよび514Bを有し、それによりマイクロスリット515を画定する。
いくつかの実施形態では、マイクロスリット515は、散乱ビームを生成することなくブレード510Aおよび510Bに衝突するビーム130の一部を遮断するように構成され、したがってブレード510Aおよび510Bは、本明細書では「散乱防止ブレード」とも呼ばれる。例えば、ブレード510Aおよび510Bは、タンタル(Ta)、ゲルマニウム(Ge)、リン化インジウム(InP)などの単結晶材料、またはタングステンカーバイドなどの多結晶材料から作られ、約1mmの厚さまたは他の適切な厚さを有する。
本開示の文脈において、および特許請求の範囲において、「単結晶」および「モノ結晶」という用語は互換的に使用され、1つの結晶から形成された構造を有する材料を指す。
いくつかの実施形態では、スリット組立体140は、マイクロスリット515の幅を調整するように、それぞれの並進軸516Aおよび516Bに沿ってそれぞれのブレード510Aおよび510Bを移動させるように構成されたアクチュエータ500Aおよび500Bを備える。軸16Aおよび16Bは、xy平面内で並進軸22と実質的に直交している。
いくつかの実施形態では、アクチュエータ500Aおよび500Bは、1つまたは複数の圧電リニアモ-タ、例えばPiezoMotor(Uppsala、スウェーデン)によって提供されるPiezo LEGS Linear 6Gシリーズ、またはPhysik Instrumente(Karlsruhe、ドイツ)などの他のベンダ-からの同様の製品を含む。これらのモ-タには、統合された高分解能位置センサが付属しうる。
いくつかの実施形態では、プロセッサ22は、ウェハ190の表面192に適切に近接して、スリット組立体140を位置決めするように構成される。マイクロスリット515の設計により、プロセッサ22は、エッジ514Aおよび514Bの少なくとも一方が表面192から10mmより短い距離に位置するように、スリット組立体140を位置決めすることができる。他の実施形態では、プロセッサ22は、マイクロスリット515を表面192から任意の選択された距離、例えば100mmと数ミリメートルの間に位置決めすることができる。
いくつかの実施形態では、マイクロスリット515の構成は、上述の図2に示されるように、ウェハ190が傾けられたときでも、プロセッサ22が、スリット組立体140を表面192に近接して(例えば、数ミリメートルまで)位置決めすることを可能にする。
いくつかの実施形態では、プロセッサ22は、ビーム130が表面192に衝突してウェハ190の構造およびバルクと相互作用する前に、ビーム130の望ましい光学特性を獲得するように、(a)マイクロスリット515と表面192との間の距離、(b)エッジ514Aおよび514B間の距離、ならびに(c)プレート520間の距離を設定するように構成される。
ここで挿入図502を参照すると、それはスリット組立体140とビーム130との間の遮断の平面図である。挿入図502の例では、プロセッサ22は、(a)ブレード510Aおよび510Bをそれぞれの並進軸516Aおよび516Bに沿って、および(b)プレート520を並進軸522に沿って移動させることによって、ビーム130の空間形状を円524の円形から破線の長方形526によって示される長方形の形状に変更するように構成される。この事例では、破線の長方形526の領域内のビーム130の部分だけは表面192に衝突するが、円524の縁と破線の長方形526との間に位置するビーム130の残りの部分はスリット組立体140によって遮断されることに注意されたい。上述され挿入図502に示されるように、並進軸516Aおよび516Bの少なくとも一方は並進軸522と直交している。
スリット組立体140の構成は、概念を明確にするために単純化されており、例として提供されている。他の実施形態では、スリット組立体140は、2つより多いブレード510Aおよび510B、および/または2つより多いプレート520を備えることができる。さらに、プレート520の端縁および/またはエッジ514Aおよび514Bは、任意の適切な形状を有してもよく、例えば、プレート520およびエッジ514Aおよび514Bの両方は、スリット組立体140から出るビーム130が前述の矩形形状ではなく円形を形成するように、それぞれのプレート520およびブレード510Aおよび510Bの領域に侵入する弧を有してもよい。
他の実施形態では、並進軸516Aおよび516Bは互いに平行でも平行でなくてもよく、並進軸516Aおよび516Bのうちの少なくとも1つは並進軸522と直交しなくてもよい。
図6は、本発明の別の実施形態によるスリット組立体150の概略図である。スリット組立体150は、例えば、図1~3に示すスリット組立体140を代替することができる。
いくつかの実施形態では、スリット組立体150は、本明細書では開口部604、606、および608とも呼ばれる、可動ブレード550の並進軸610に沿って配置された3ピンホールのコリメーションシステムを備える。
いくつかの実施形態では、スリット組立体150は、並進軸610に沿ってブレード550を動かすように構成されたアクチュエータ600を備える。
ここで、ビーム130とブレード550との間の遮断の平面図である、挿入図602を参照する。
いくつかの実施形態では、各開口部604、606および608は、Incoatec GmbH(ハンブルク、ドイツ)によって製造された、SCATEX非散乱ピンホールなどの固定寸法開口部を含む。ブレード550の例では、開口部604、606、および608は円形を有し、各開口部は異なる直径、例えば約20μmから500μmの間の直径を有する。
いくつかの実施形態では、散乱のないピンホールのフレームとして機能するブレード550は、低エネルギーの光子を有するX線ビームの場合はGeから、より高エネルギーの光子を有するビームの場合はTaから作製される。
いくつかの実施形態では、開口部604、606、および608の構成は、X線ビームが他のタイプの開口部を通過するときに通常発生する望ましくない寄生散乱を低減するように適合されている。
いくつかの実施形態では、アクチュエータ600は、並進軸610に沿ってブレード55を移動させるように構成された駆動ロッド620に結合された任意の適切な種類のモ-タを含み得る。
他の実施形態では、アクチュエータ600の構成は、上記の図で説明したアクチュエータ500Aおよび500Bの構成と同様であり得る。
いくつかの実施形態では、プロセッサ22は、ブレード550の選択された1つの開口部をビーム130を遮断するように位置決めするようにアクチュエータ600に命令することによって、ビーム130の光学特性を決定するように構成される。図6の例では、アクチュエータ600は、ビーム130が開口部606を通過し、そして開口部606内の領域を超えるビーム130の部分が遮断されるように、開口部606を位置決めする。
図7Aは、本発明の一実施形態によるビーム遮断器230の概略図である。いくつかのケ-スでは、表面192に衝突する入射ビーム130の少なくとも一部は、ウェハ190を直接透過し、ビーム220の一部として、散乱されることなく表面191から出る。ビーム220の直接透過部分は、本明細書では「直接ビーム」と呼ばれる。
いくつかの実施形態では、ビーム遮断器230は、直接ビームのX線放射を減衰させるように、通常はビーム220の中心に配置される。この減衰は、例えば検知器240の損傷を防ぐためおよび/または、検知器が飽和するのを防ぎ、非線形領域で動作するのを防ぐために必要である。一方、減衰が大きすぎると、ビーム220の中心の角度位置および強度を追跡するためにプロセッサ22によって使用されうる基本的信号の検知が排除されることになる。従って、ビーム遮断器230の減衰は通常、透過ビームの強度が検知器240において毎秒数百または数千の光子に減衰されるように選択される。
いくつかの実施形態では、ビーム遮断器230は、典型的には楕円形状または他の任意の適切な形状のビームストッパ232などの1つまたは複数のビーム遮断要素を備える。いくつかの実施形態では、ビームストッパ232は、典型的にはタンタルまたはタングステンなどの金属元素および/または任意の適切な金属合金を含む、高Z材料とも呼ばれる、X線に対し部分的に不透明な材料から作られる。
上述のように、ビームストッパ232の減衰は、ビーム220の角度位置および強度の信頼性のある測定を可能にし、同時に検知器240の検知における損傷および非線形歪みを防止するように選択される。
いくつかの実施形態では、ビームストッパ232は、空気または蛍光による散乱、および、検知器240のアクティブ領域または表面の後ろの電子回路からの他の散乱のような、線源からの背景強度を最小にするようにさらに構成される。検知器240のアクティブ領域は、検知器材料の、例えば、450μmのシリコンのように厚さが制限されているか吸収が少ないことに起因して、10keV以上のエネルギーを有する高エネルギーX線で部分的に照明されている可能性がある
いくつかの実施形態では、ビームストッパ232は、直接ビームの散乱強度を低減するように湾曲した、および/または滑らかな縁部を有する。
いくつかの実施形態では、ビーム遮断器230は、本明細書でマウントとも呼ばれるマトリックス236を含む。マトリックス236は、X線を散乱しないように適合された材料のブロックから作製され、それは限定されないが、ダイヤモンドまたは、本明細書ではMylar(商標)とも呼ばれる、二軸延伸ポリエチレンテレフタレート(BoPET)ポリエステル、または、本明細書ではKapton(登録商標)とも呼ばれる、ポリ(4,4´-オキシジフェニレン-ピロメリットイミド)ポリイミド、の薄板のようなポリマーである。
いくつかの実施形態では、ビームストッパ232は、マトリックス236に形成された凹部(図示せず)に取り付けられ、そしてX線を散乱する可能性があり、従って、測定値にたいする背景信号のレベルを増大させうる、接着剤を使用せずにマトリックス材料によって機械的に支持される。接着剤はX線照射下で経時的に劣化する可能性があるので、吸収機構は、薄い接着層およびシ-ド層を適切なメタライゼーションで堆積し、次に金(Au)などの厚いX線吸収材料を電気めっきするなどの電子機器製造に使用される技術を使用して、あるいは、高濃度の金属ナノ粒子を組み込んだインキを使用し、その後アニーリングプロセスを使用したアディティブプリンティング技術の使用により、製造できる。
他の実施形態では、ビームストッパ232は、X線を散乱しない接着剤などの他の適切な技術を使用してマトリックス236に結合することができる。ビームストッパ232は、直接ビームを減衰させるよう適応され、その結果、図1にビーム222として示される周囲の散乱ビームは、支持構造がビーム222の散乱X線を透過するので、減衰しない、ことに留意する必要がある。
いくつかの実施形態では、ビームストッパ232の材料が十分な強度の直接ビームを部分的に透過させるので、プロセッサ22は、ビームストッパ232を直接ビームから遠ざけることなく、検知器240によって検知された直接ビームの強度および位置を決定できる。
いくつかの実施形態では、ビーム遮断器230は、本明細書では高精度電動ステージ233とも呼ばれるマウントを備え、これはプロセッサ22によって制御され、1つまたは複数の軸に沿って移動するように構成される。たとえば、システム10および30の構成内のX軸とY軸の平行移動は、上記図1および図2にそれぞれ示されている。
いくつかの実施形態では、ウェハ190を透過する直接ビームに対するビームストッパ232の位置をプロセッサ22が設定するように、マトリックス236がステージ233に取り付けられる。他の実施形態では、ビームストッパ232とビーム220との、特にその直接ビームとのアライメントを改善するように、ステージ233は回転軸(図示せず)を含み得る。別の実施形態では、ステージ233はまた、上記の図3に示されるシステム40の構成を可能にするように、または直接ビームの減衰レベルをさらに改善するように、z 軸方向に移動するように構成される。
いくつかのケ-スでは、直接ビームの減衰は、ウェハ190によって、またはシステム10の他の任意の要素によって十分に高くなり得る。したがって、他の実施形態では、プロセッサ22はビーム遮断器230をビーム経路220から遠ざけるように構成される。これらの実施形態では、ビームストッパ232がビーム220を遮断していないので、プロセッサ22は、検知器240によって検知された直接ビームの方向および強度に基づいて、直接X線ビームの強度および位置をモニタリングすることができる。
ビーム遮断器230の構成は、概念を明確にするために単純化されており、例として提供されている。他の実施形態では、ビーム遮断器230は、直接ビームの強度を減衰させるため、および/またはウェハ190から散乱された1つまたは複数のビーム222の検知を管理するための他の任意の適切な構成および/または組立体を含み得る。例えば、ビーム遮断器は、複数のビームストッパ232を備え、または遮断器の有効幅を変えるようにその間隔を調整することができる2本の細いワイヤを備えることができる。
図7Bは、本発明の一実施形態によるビーム遮断器330の概略図である。ビーム遮断器は、例えば、上記の図1のビーム遮断器230に代替することができる。いくつかの実施形態では、ビーム遮断器330は、合成ダイヤモンドから作られるマトリックス333、または上述のマトリックス236の材料、またはビーム220のX線を散乱しないように適合された任意の他の適切な材料を含む。
いくつかの実施形態では、ビーム遮断器330は、それぞれ適切な材料から作られた複数の種類のビームストッパを含む。例えば、約50μmの厚さ、または他の適切な厚さを有する金ベースのビームストッパ、または50μmから100μmの間の典型的な厚さ、または任意の他の適切な厚さを有するタングステンベースのビームストッパは、例えば適切なタングステン箔のレーザ切断によって製造することができる。
いくつかの実施形態では、ビームストッパは、マトリックスを陥没させてビームストッパを陥没パターン内に配置することなどの任意の適切な技術、または上記の図7Aに記載されるものなどの任意の他の適切な方法を使用してマトリックス333に結合される。例えば、金またはタンタルは陥没パターンに堆積させることができ、あるいは化学的および/または物理的技術を使用してマトリックスの表面に堆積させることができ、そして上述のレーザ切断タングステン片は陥没パターンに取り付けることができる。
いくつかの実施形態では、ビーム遮断器330は、複数の幾何学的形状およびビームストッパの配置を含む。図7Bの例では、ビーム遮断器330は、互いに5mmの距離で、X軸に沿って一列に配置され、約10mm(Y軸に沿って測定)の同様の長さを有する5つの棒状ビームストッパを含む。棒状ビームストッパは、異なる幅、例えば0.1mmと0.5mmの間の幅を有する。例えば、ビームストッパ332および334は、それぞれ約0.5mmおよび0.3mmの幅(X軸に沿って測定)を有し、ビームストッパ332と334との間のバ-は、約0.4mmの幅を有する。
いくつかの実施形態では、ビーム遮断器330は、上述の棒状ビーム遮断器の、X軸(例えば幅および距離)に沿って同じ配置を有する5つの正方形のビーム遮断器を備える。例えば、ビームストッパ336および338は、それぞれ0.4mmおよび0.2mmの幅を有し、それらの間に配置された正方形のビームストッパは0.3mmの幅を有する。
いくつかの実施形態では、ビームブロッカー330は、他の形状のビームストッパを含み得る。例えば、T字型ビームストッパ335および339、ならびにL字型ビームストッパ337は、すべて、任意の適切な方向に配置され、任意の適切な幅、長さ、および互いからの距離を有する。図7Bの例では、T字型およびL字型のビームストッパは、典型的な幅が0.2mm、長さが1mm-2mmであり、隣接するビームストッパ間の距離が約5mmである。追加的または代替的に、選択されたビームストッパを正確に配置して、T字型およびL字型のビームストッパを上記のビームを遮断するための位置合わせマークとして使用することができる。
ビーム遮断器330の構成は一例として提供されている。他の実施形態では、ビーム遮断器330は、任意の適切な形状および寸法を有し、かつ任意の適切なレイアウトで配置された、任意の他の組のビームストッパを含み得る。
図8Aは、本発明の別の実施形態による、ビーム遮断器230がない場合に検知器240によって検知されたビーム220の強度を示す画像402の概略図である。図8Aの例では、x 軸とy 軸の両方にコリメートされている入射ビーム130が、DRAMデバイスのHARコンデンサなどの六角形のフィーチャ配列を含むウェハ190に衝突する。
いくつかの実施形態では、画像402は、検知器240によって検知された直接ビームの強度を示すスポット420を含む。画像402は、DRAMデバイスの六角形配列から散乱したそれぞれのビーム222を示す複数のスポット410をさらに含む。いくつかの実施形態では、スポット410および420のグレーレベルは、検知器240によって検知されたビーム220の強度(たとえば光子束およびそのそれぞれのエネルギー)を示す。本実施例では、白色は高強度を示し、そしてより暗い色は、検知器240によって検知されたより低い強度を示す。
いくつかの実施形態では、画像402は、上記の図1にも示されている、検知器240の領域226内の、スポット410とスポット420との間に配置された場所404を含む。画像402はさらに、本明細書では背景と呼ばれる、検知器240の領域226の外側に位置する領域400を含む。
いくつかの実施形態では、プロセッサ22はビーム130の特性を、(a)スポット410がコヒーレント散乱を有し、したがって明るく見える、(b)スポット410の間の場所404がインコヒーレント散乱を有し、したがって、スポット420に非常に近接した領域を囲む仮想円405内に位置するスポット410より暗く見える、および(c)領域400は散乱がないか、または所定の閾値を下回る散乱レベルを有し、したがって黒で表示される、ように設定するように構成される。
いくつかの実施形態では、ビーム遮断器230がない場合、直接ビームの高強度はスポット420の領域で検知器240を飽和させ、したがって領域226を横切って非線形検知を引き起こす。したがって、スポット420は白色で現れる。そして円405内の領域は、領域226の周辺領域よりもかなり明るく見える。
上述のように、コヒーレント散乱により、スポット410は円405の領域内の場所404よりも明るく見える。しかしながら、検知器240からのインコヒーレントな背景の増加により、スポット410は領域226の周縁の場所404よりも暗く見える。したがって、検知器240からの背景(インコヒーレントなX線強度)が増加することによって生じる制限されたコントラストの影響を受けて、検知器240の信頼できる検知領域は円405内の領域に限定される。
図8Bは、本発明の実施形態による、ビーム遮断器230の存在下で検知器240によって検知されたビーム220の強度を示す画像406の概略図である。図8Aの例と同様に、x 軸およびy 軸の両方においてコリメートされている入射ビーム130が、前述のDRAMデバイスの六角形配列のHARコンデンサを含むウェハ190上に衝突する。
いくつかの実施形態では、画像406は、検知器240によって検知された直接ビームの強度を示すスポット430を含む。画像406は、DRAMデバイスの六角形配列から散乱したそれぞれのビーム222を示す複数のスポット440をさらに含む。
いくつかの実施形態では、ビーム遮断器230は、検知器240によって検知された直接ビームの強度を減衰させるので、スポット430は濃い灰色で現れ、そして検知器240は、例えば上記の図8Aに示される有意な背景強度を持ち込まない。
いくつかの実施形態では、HARフィーチャからのコヒーレント散乱の検知された強度は、領域226の周辺部と比較して円40内でより強く見える。さらに、検知器240の線形検知は、場所404から検知される強度を領域400の背景レベルまで低減する。したがって、領域226内では、すべてのスポット440と領域404との間のコントラストは、高い正確性と精密度で測定を実行するのに十分に高い。「正確度」という用語は、対象フィーチャの実際の寸法を測定することに関し、「精密度」とは、所与の対象フィーチャに対して実行された複数の測定の再現性に関する。
いくつかの実施形態において、ビーム遮断器230の存在は、ウェハ190および検知器240上のそれぞれの位置におけるビーム130および220の両方の入射束のような、ビーム130、220の特性を示すパラメータを制御するために、プロセッサ22が部分的に減衰された直接ビームを(例えば、HAR構造の測定中に)モニタリングすることを可能にする。
図9Aは、本発明の別の実施形態による、ビーム遮断器230がない場合に検知器240によって検知されたビーム220の強度を示す画像502の概略図である。図9Aの例では、x 軸にコリメートされ、y 軸に沿ってウェハ190上(例えば表面191上)に集束される入射ビーム130は、1D(線)またはデバイス内の線や溝、あるいはスクライブライン内やダイ上の他の場所にある専用の計測パッドなど、の長く狭い2Dフィーチャの配列、を含むウェハ190に衝突する。
いくつかの実施形態では、画像502は、検知器240によって検知された直接ビームの強度を示すスポット526を含む。画像502は、配列から散乱したそれぞれのビーム222を示す複数のフィーチャ510をさらに含む。いくつかの実施形態では、フィーチャ510およびスポット526のグレーレベルは、検知器240によって検知されたビーム220の強度を示す。上記の図8Aで説明したように、白色は高強度を示し、より暗い色は検知器240によって検知された強度が低いことを示す。
いくつかの実施形態では、画像502は、検知器240の領域226内の、フィーチャ510とスポット526との間に配置された場所504を含む。画像502は、検知器240の領域226外に位置する領域400をさらに含む。
いくつかの実施形態では、プロセッサ22はビーム130の特性を、フィーチャ510がコヒーレント散乱を有し、場所504がインコヒーレント散乱を有し、領域400が散乱を有さないように、設定するように構成される。
いくつかの実施形態では、ビーム遮断器230がない場合、高強度の直接ビームは、領域226にわたって十分に高い背景強度およびコントラストの喪失を引き起こす。したがって、スポット520は白色で表示され、仮想矩形505内の領域は領域226の周辺領域よりも実質的に明るく表示される。
上述したように、コヒーレント散乱により、フィーチャ510は矩形505の領域内の場所504よりも明るく見える。しかしながら、検知器240からの背景の増加は、領域226の周辺部でコントラストの喪失をもたらす。ビーム遮断器230がない場合、検知器240の信頼性のある検知領域の形状および寸法は、測定されたフィーチャのタイプ(例えば、幾何学的形状)例えば、図8Aでは丸く、図9Aでは直線的である)、ビーム130の特性、および、例えば上記の図2のシステム30に示されているウェハ190の傾斜角などのシステムの他のパラメータ、に依存することに留意する必要がある。
図9Bは、本発明の実施形態による、ビーム遮断器230の存在下で検知器240によって検知されたビーム220の強度を示す画像506の概略図である。いくつかの実施形態では、プロセッサ22は、上の図9Aで説明した設定と同様に入射ビーム130を設定する。したがって、x 軸にコリメートされy 軸に集束されたビーム130は、上述の線またはトレンチの配置を含むウェハ190に衝突する。
いくつかの実施形態では、画像506は、検知器240によって検知された直接ビームの強度を示すスポット530を含む。画像506は、NANDフラッシュメモリデバイスの配列から散乱するそれぞれのビーム222を示す複数のフィーチャ540をさらに含む。
いくつかの実施形態では、ビーム遮断器230は、検知器240によって検知された直接ビームの強度を減衰させ、それ故、スポット530は濃い灰色で現れ、検知器240は過剰な強度によって飽和されない。
いくつかの実施形態では、線またはトレンチからのコヒーレント散乱の検知強度は、領域226の周辺部と比較して矩形505内でより強く見える。それでも、検知器240の線形検知は、場所504から検知された強度を背景レベルまで減少させる。したがって、領域226内では、すべてのフィーチャ540と領域504との間のコントラストは、高い正確性と精度で測定を実行するのに十分に高い。
上記の図8Bで説明したように、ビーム遮断器230の存在は、プロセッサ22が、ビーム130 220の特性を示すパラメータを制御するために、部分的に減衰された直接ビームをモニタリングすることを可能にする。
図10は、本発明の一実施形態による、センサ243の配列を備える検知器240が、角度分解能を向上させるためにセンサの間隔よりも小さいステップで移動される走査方式の概略図である。いくつかの実施形態では、検知器240は、本明細書ではセンサ243と呼ばれる1Dまたは2Dセンサ素子の配列を含む。図10の例では、検知器240は、本明細書でそれぞれPxおよびPyと呼ばれる、既定のx軸のピッチとy軸のピッチをそれぞれ有する、2Dセンサ243を含む。
本開示の文脈において、および特許請求の範囲において、用語「Px」および「幅軸」は交換可能に使用され、用語「Py」および「高さ軸」も交換可能に使用される。いくつかの実施形態では、各センサ243は、直接ビームおよびセンサの活性表面に衝突するビーム222、の強度を示す電気信号を生成するように構成されている。いくつかの実施形態では、プロセッサ22は、各センサ243から受信した電気信号に基づいて、本明細書で画素と呼ばれる画像を生成するように構成される。したがって、x 軸とy 軸の各画素の寸法は通常、それぞれPxとPyのオ-ダ-である。
いくつかの実施形態では、検知器240は、並進および回転モ-タ(図示せず)を備える電動ステージ246に取り付けられる。いくつかの実施形態では、並進モ-タは、x-y平面内で走査するためにx 軸およびy 軸に、センサ243の活性表面上のビーム222のフォーカスを改善するためにz 軸に、検知器240を動かすように構成される。いくつかの実施形態では、回転モ-タは、センサ243をビーム222の散乱X線光子の方向とアライメントさせるために、例えばz 軸の周りに、検知器240を回転させるように構成される。
いくつかの実施形態では、ステージ246は、所定の頻度でステージ246のそれぞれの軸の並進および回転位置を測定するように構成された高精度エンコ-ダおよび/または干渉計(図示せず)を備える。
いくつかの実施形態では、システム10は、プロセッサ22によって制御される運動制御組立体(図示せず)を含み得る。運動制御組立体は、各モータについて、それぞれの運動プロファイル(例えば、速度、加速および減速)を決定するように構成されるコントローラ(図示せず)を含み得る。運動制御組立体は、1つまたは複数のドライバをさらに含み、それは、前述のコントローラによって制御され、そしてそれぞれの運動プロファイルに従って、そして各軸のそれぞれのエンコーダまたは干渉計によって測定された現在位置に基づいて、移動するように、ステージ246のモータを駆動するように構成される。
他の実施形態では、プロセッサ22はさらに、ステージ246の動きを制御するように構成されており、コントローラに加えて、またはコントローラの代わりにこの目的のために使用することができる。
いくつかの実施形態では、ステージ246は、ここではDxおよびDyと呼ばれる、選択されたそれぞれのステップ寸法でx 軸およびy 軸に沿って検知器240を移動させるように構成される。したがって、ステージ246は、上述の画素寸法の数分の1に等しいステップで検知器240を動かすように構成される。
以下の等式1および2は、それぞれDxおよびDyの寸法を推定するための明示的な表現を提供する:
Figure 2023139005000002
Figure 2023139005000003
ここで、nとmは、通常、それぞれx 軸とy 軸の選択されたステップ寸法を示す整数である。
いくつかの実施形態では、プロセッサ22は、所与のセンサ243によって生成された電気信号を受け取り、受け取った信号に応答してウェハ190の回転速度を設定するように構成されている。センサ243の取得時間は、検知されたX線の強度に反比例することに留意されたい。例えば、ウェハ190の所与の領域で受信された電気信号が検知されたX線の比較的低い強度を示す場合、プロセッサ22は、コントローラに対し、光子の束を増加させるため、その所与の領域で検知器240の動きを減速するように命令し、それにより、その所与の領域で検知される信号対背景比(SBR)を増加させることができる。
同様に、ウェハ190の異なる回転角度において、比較的高い強度のX線が検知された場合には、プロセッサ22は、測定スループットを増加させるため、異なる領域において検知器240の動きを加速するようにコントローラに命令し得る。
いくつかの実施形態では、プロセッサ22または検知器240のコントローラは、検知器240がウェハ190上の測定位置にわたって所定の強度範囲を受け取るように、取得時間を制御するように構成される。所定の強度範囲は高いSBRを得るための十分な強度を可能にし、しかも、検知器240のそれぞれのセンサにおける飽和および非線形検知を防止する。
いくつかの実施形態では、プロセッサ22は、所与のセンサ243から、取得時間tにおいて、ビーム222の散乱光子の強度に基づく画像を取得するように構成される。したがって、nxm個のサブ画素の配列において、プロセッサ22は、取得時間t内でnxm個のサブ画像を取得するように、各サブ画素に対してt/(mxn)の均一な時間間隔を割り当てる。
いくつかの実施形態では、プロセッサ22は、ステップ寸法DxおよびDyをそれぞれ使用して、x 軸およびy 軸に沿ってラスタパターンで検知器240を移動させ、それにより単一画素の全領域にわたる検知器240の異なる位置における各時間間隔の強度分布を測定する、ように構成される。
いくつかの実施形態では、プロセッサ22は、それぞれのセンサ243から受信したnxm個のサブ画像を単一の画素に組み合わせるように構成される。プロセッサ22は、合成画像の解像度(例えば角度解像度)を高めるために、限定されないが、単純な算術補間、または任意の適切な画像処理アルゴリズムなどの任意の適切な方法を、受信したサブ画像に適用することができる。
いくつかの実施形態では、サブ画素のステップ移動を適用し、n。m個のサブイメージを組み合わせて、改善された角度分解能を有する単一の画像を形成することにより、プロセッサ22はそれぞれの検知器組立体の利用可能な画素寸法によって引き起こされるSAXSシステムの分解能の限界を克服する。
以下の等式(3)は、対象ウェハから距離dに配置された、画素寸法pを有する検知器の角度分解能Δθを計算するための式を提供する:
Figure 2023139005000004
172μmの典型的な画素寸法に基づいて、0.3mrad~0.5mradのオーダーの角度分解能を得るためには、約5~6メートルの距離が必要である。
いくつかの実施形態では、上述のようにサブ画素のステップ移動を使用し、nxm個のサブ画像を組み合わせることによって、検知器240とウェハ190との間の設計距離は、必要な角度分解能を維持しながら、例えば3倍だけ、例えば2メートル未満に減少する。
いくつかの実施形態では、以下に詳細に説明するように、プロセッサ22は、十分に高い信号対背景比(SBR)でサブ画像を取得することを可能にする最大レベルまで検知器240の速度を増加させることによって、ウェハ190の対象フィーチャを測定する全サイクル時間を短縮するように構成される。
散乱ビーム222の強度は、通常、散乱オブジェクトの電子密度分布ρ(r)のフーリエ変換に依存する。弱い散乱の場合、散乱振幅「A」は等式(4)を用いて計算することができる:
Figure 2023139005000005
ここで、Qは散乱ベクトルであり、X線波長λ、ならびにウェハ190に対する入射ビーム130および散乱ビーム222のそれぞれの角度によって決定される。
下記の等式(5)は、運動学的近似で散乱強度を計算するための周知の表現を提供する:
Figure 2023139005000006
ここで、Ib(Q)は、蛍光または放射のコヒーレンス長を超えたウェハ内の構造からの散乱、または機器の一部すなわちスリットまたはビーム遮断器からの散乱などの、任意の線源からのインコヒーレントな「背景」強度寄与系である。
電子密度ρeは、ウェハ190の散乱オブジェクトの屈折率「n」に関連する。以下の等式(6)は、屈折率nを計算するための表現を提供する:
Figure 2023139005000007
ここで、δとβは、波と物質の相互作用の分散成分と吸収成分である。
屈折率の値は、硬X線の範囲内のすべての材料について1に近く、ここでδの値は10-6のオーダーであることに留意する必要がある。
したがって、電子密度ρeの算出には、以下の等式(7)を用いることができる:
Figure 2023139005000008
ここで、reは古典的な電子半径の値で、2.818x10-15メートルに相当する。
いくつかの実施形態では、プロセッサ22は、トポグラフィと上記の対象フィーチャの材料とを含む物理モデルを計算するように構成される。プロセッサ22は、数値適合度(GOF)などの任意の適切なパラメータを使用して計算強度と測定強度とを比較し、そして計算データと測定データとの間の差を最小にするようにモデルパラメータを調整するように構成される。
プロセッサ22によって適合されたデータセットは、ウェハ190に対するビーム130および/または検知器240の異なる方向性に対する回折ピークに沿って、またはそれらを横切って積分された強度分布のような1つ以上の1Dデータセット、または散乱強度パターンの一連の2D画像、またはそれらの組み合わせ、を含み得る。
上述のように、プロセッサ22は、ウェハ190を横切る異なる場所で異なる取得時間を使用してデータを取得することによって、対象フィーチャの測定時間を短縮するように構成される。いくつかの実施形態では、プロセッサ22は様々な条件で検知器240による異なる取得時間を適用し得る。それは例えば、異なる種類のフィーチャ(例えば、幾何学的構造および/または材料)、および/またはレイアウト(例えば、単一のフィーチャ、またはフィーチャの高密度の配列)、および/またはビーム130とウェハ190の表面192との間の角度、および/またはビーム222と検知器240の活性表面との間の角度、を測定する場合である。
いくつかの実施形態では、プロセッサ22は、検知器240から受信された電気信号が、十分に高いSBRを可能にするのに十分な強度を得るように信号取得時間を調整するように構成される。Nカウントに基づく平均強度の散乱X線の測定の不確実性は通常ポアソン計数統計によって決定され、標準誤差が√Nで与えられ、端数誤差が1/(√N)で与えられる。したがって、プロセッサ22は、カウント数を増やすことによって測定の不確実性を減らすことができる。
上述のように、プロセッサ22は、十分であるが過剰ではないX線光子計数統計を得るために、検知器240によって検知されたビーム222の強度が高い幾つかの場所では収集時間を短縮し、検知されたX線の強度が低い他の場所では収集時間を増加させる。
代替実施形態では、プロセッサ22は、1D強度プロファイルおよび/または1回転以上の回転角度に対する2D画像などの、検知器240から受信した生の電気信号に、ダウンサンプリングおよび主成分分析(PCA)などの前処理を適用することができる。続いて、プロセッサ22は、前処理データおよび補完データに、(例えば、対象フィーチャの)電気試験データなどのデータの値を評価するために使用することができる、1つまたは複数の機械学習アルゴリズムを適用することができる。
これらの実施形態では、プロセッサ22は、ニューラルネットワークを使用するディープラーニングのためのトレーニングデッキとして、グーグル(カリフォルニア州マウンテンビュー)によって第1に開発されたTensorFlowオープンソース機械学習フレームワークなどの任意の適切なタイプの機械学習アルゴリズムを使用し得る。
その後、プロセッサ22は、それぞれの被試験デバイスの電気的性能を予測するか、その後のウェハ190の測定データに基づく有用な属性をシステム10、30、40のユーザに提供するため、先行するデータセットに基づいて得られた訓練モデルを後続のウェハ190で測定されたデータに適用する。そのような機械学習アルゴリズムの実施形態を使用することは、信頼できる回帰ベースのモデルを開発するために高いサンプリングを必要とし得ることに留意する必要がある。
いくつかの実施形態では、検知器240は、ビーム220の低エネルギー光子と高エネルギー光子とを区別するように構成された電子回路(図示せず)を含む。いくつかの実施形態では、プロセッサ22は、例えば蛍光X線および高エネルギー宇宙線によって引き起こされる背景強度を低減するように構成される。
他の実施形態では、プロセッサ22は、上述のサブ画素の解像度の向上と組み合わせてソフトウェアベースのフィルタを使用して、高エネルギー宇宙線の多くを除去するように構成される。これらの実施形態では、検知器240は、上記のハードウェアベースの宇宙線識別を含まなくてもよい。
(サンプルに衝突する前のX線ビームの検知)
SAXSシステムの半導体ウェハを通過する直接ビームの強度は、半導体ウェハに入射する前のX線ビームの強度の正確な測定値ではない可能性があることがわかっている。入射ビーム強度を正確に測定することで、半導体サンプルの微細構造の測定品質(臨界寸法など)が向上する。
たとえば、半導体ウェハの高アスペクト比(HAR)のホールがゼロ(または小さい)傾斜角で照射される場合、HARホールからの散乱が大きくなる可能性がある。HARは奥行きと幅の比率を意味し、10:1を超えるアスペクト比は高いアスペクト比と見なされる。
このような場合、直接ビーム強度の推定は、サンプルを既知の傾斜角で回転させ、I(omega)= I0 * exp [-mu * t / cos(Omea)]に従って強度を補正することによって行うことができる。ここで muは、入射X線エネルギーにおける基板(通常はSi)の線形減衰係数であり、t は基板の厚さである。サンプルの回転には、大きくて複雑なハ-ドウェアが必要であり、時間がかかる。
サンプルを回転させると、散乱が無視できないほど小さくない場合(たとえば、<1%)、減衰による直接ビームの減少よりも、より強く散乱X線の強度を効果的に減少させる。
光線ビームが半導体サンプルに到達する前のX線ビームの強度を測定するために、X線ビームの経路にセンサ(X線強度検知器)を選択的に配置するシステム、コンピュータプログラム製品、および方法が提供される。
センサは、ビーム強度モニタリング期間中はX線ビームの経路内に配置でき、半導体サンプル測定期間中はX線ビームの経路外に配置できる。SAXSパターンの強度が比較的弱い場合は、ビームの強度を大幅に低下させないように、X線の経路からセンサを取り外す必要がある。
センサは、任意のタイプの運動-(限定されないが回転運動など)、または直線運動、非線形運動の組み合わせ、を使用して、X線ビームの経路に挿入でき、そして経路から取り外すことができる。
直線運動は任意の方向に行うことができ、回転運動は任意の回転軸を中心に行うことができる。
図11-14は、回転のさまざまな非限定的な例を示している。これらの図では、センサ702は、機械的機構(いくつかの図には示されていない)によって保持および移動することができる。機械的機構は、アクチュエータに取り付けられたアームであり得る。センサ702は、他の任意の保持および/または移動機構によって保持および/または移動することができる。
図11の上部は、x 軸運動715、y 軸運動715、x 軸とy 軸の両方に向けられた軸713に沿った直線運動、および様々な回転711、714、および715を示している。回転は、センサを支持する支持要素に関するものであることに注意されたい。センサ自体をセンサの中心の廻りに回転させることは、そのような回転によってセンサがX線ビームの経路から外れる場合にのみ使用できる。
図12は、X線ビームの経路の外側(「外側の場所」)にあるセンサ702を示している。
図13は、経路X線ビーム内(それぞれの並進軸516Aおよび516Bに沿って移動可能なブレード510Aおよび510Bに沿って移動可能なプレート520によって形成される開口またはマイクロスリットの上)にあるセンサ702の様々な回転を示す。
図13の上部では、センサ702は開口部の上に配置されており、測定位置にある。
図13の中央部および下部は、外側位置にあるセンサ702と、外側位置と測定位置との間の経路(それぞれ717および718)を示している。
図13の中央部分では、センサ702は、プレート520の平面に平行な平面で回転し、図13の下部では、センサ702は、プレート520の平面に垂直な平面で回転する。
回転は、プレート520の平面に対して任意の空間的関係を有する任意の平面で行うことができる。
図13の上部と中央部は上面図であり、図13の下部は側面図である。
図14-16は、(a)センサ702とアクチュエータ701、および(b)「スキャッタレスピンホール」技術を使用して作成された一連の固定サイズ開口部を含むブレード550を動かすアクチュエータ600を含むマイクロスリット組立体140、の間のさまざまな空間関係を示している。これらの図は、センサ702を経路内(測定位置)およびX線ビームの経路外(外側位置)に配置する動きの様々な例を示している。
図15および16は、センサが経路から取り外されたときのX線ビームの経路も示している。X線ビームの経路(測定位置)に配置される場合、センサ702と最も近いビーム成形要素との間の距離は、例えば、ミリメートル(1mm、数ミリメートル、または1mm未満)であり得る。他の距離を使用することもできる。同様に、図16に示されるものよりも小さい回転角を使用して、センサ702をビーム成形要素からミリメートル距離だけ離して、機構の全体的なサイズを縮小することができる。
図17~18は、センサ702も含む図1のシステム10を示している。
図17および18は、X線ビームの経路の中(図18)およびXビームの経路の外側(図17)にある-ビームが成形された後の-センサ702の相対位置の例を提供する。
図19は、図4のビーム調整組立体165の後に配置されたセンサ701の例を示している。
センサ702は、X線ビームの強度を測定することができる任意のタイプのセンサであり得る。例えば、センサは、シリコンセンサ、ガリウムヒ素センサ、CdTeセンサ、ピンダイオードなどであり得る。センサ702は、X線ビームの強度を示す出力電流または他の物理的特性を出力することができる。さらに別の例によれば、センサは、強いX線蛍光を発する金属箔であり得、一方、蛍光X線の強度は、X線ビームの強度を反映する。
図20は、方法905を示している。
方法905には次のものが含まれる:
a.X線ビームの経路内で半導体サンプルの前に配置されたセンサによってX線ビームの強度を測定するステップ915。ステップ915は、ビーム強度期間中に発生する。ステップ915は、X線ビームの経路にセンサを配置するステップを含むか、またはそのステップの後に実行されうる。
b.センサがX線ビームの経路内に無い間に半導体サンプルをX線ビームで照射し、半導体サンプルからの信号(SAXSパターンなど)を検知するステップ925。ステップ915は、半導体サンプルの測定期間中に発生する。ステップ925は、X線ビームの経路の外側にセンサを配置するステップを含むか、またはそのステップの後に実行されうる。
c.ステップ935の間に検知された信号-例えば、半導体サンプルの測定値を処理して、半導体サンプル-についての指標を提供するステップ935。
ステップ915、925および935の複数の反復を実行することができる。
たとえば-これらのステップの反復は、ウェハの測定中に数回実行される場合がある。たとえば、サンプルのそれぞれの傾斜後。次に、強度を使用して測定データを正規化するか、パラメトリックモデルに含めて強度をスケーリングし、たとえば温度変化による長期的なドリフトを補正することができる。
直接ビームを遮断すると、散乱放射線の測定品質が向上することがわかっている。半導体サンプルに到達する前にX線ビームの強度を測定すると、静的ビームブロッカーによって直接ビームが減衰されてブロックされるか、部分的にブロックされる可能性がある。これにより、ビームブロッカーが(a)直接ビームをブロックする第1の位置と(b)直接ビームまたはSAXSパターンの一部をブロックしない第2の位置の間を移動する必要がないため、システムが簡素化される。
たとえば、厚いCdTeやGaAsなどの高吸収センサを備えた検知器を使用する場合、いずれの装置もビームブロッカーを必要としない可能性がある。
いずれの装置でも、ビームブロッカーを取り外して短い測定で直接ビーム強度を測定し、次に挿入して多くの時間が必要な構造からの散乱を測定することができ-それにより、ビームの最も強度の強い部分による長時間露光を回避することで、検知器を損傷から保護する。
(XRF検知器を備えたシステム)
複数のX線ベースの分析技術を含む半導体計測ツールは、XRFとXRRを組み合わせたVu氏他による文献(米国特許6,381,303)などの当技術分野で一般に知られており、特にSAXS+XRFの組み合わせは、Yokin氏他による文献(米国特許7,551,719)、Paris氏他(2007)、またはBeckman氏他(米国特許US9,778,213)から知られている。この装置は、1つまたは複数のX線ビームおよび検知器を使用して、順次または同時に、複数の技術で測定するために使用することができる。以前のSAXS+XRFツールでは、検知器は、図21に示すように、入射X線ビームの側面にあるX線検知器の個別または配列で構成されていた(X線131は、サンプル190に垂直な特定の軸に沿って伝播し、一方X線検知器744はX線ビームの片側で、サンプルから比較的離れて、そして特定の角度に指向されて配置される)。
これは既製の要素を使用して実装するのに便利であるが、検知器を入射X線ビームの経路から外す必要があり、それが収集の可能な立体角を制限するため、このようなシステムのパフォーマンスは、特定の時間での測定精度に関して低下する。
透過ジオメトリSAXSツール内に、検知器の収集の立体角の制限に起因するパフォーマンスの制限に対処するX線蛍光(XRF)検知器が提供されている場合がある。XRF検知器は、ビームが通過することを可能にする開口部を含む単一のモジュール上にモノリシックに製造された1つまたは複数のシリコンドリフト検知器(SDD)を含み得る。
図22は、単一の検知領域7502を形成する開口部7501と1つまたは複数のSSDを含むXRF検知器750を示している。
図22は、開口部7501と、複数の検知領域7502A、7502B、7502C、および7502Dを形成する1つ以上のSSDを含むXRF検知器750´も示している。
独立した検知領域の数、独立した領域の形状および/またはサイズは、図22に示されているものとは異なる場合がある。開口部により、X線ビームはサンプルに衝突する前にXRF検知器を通過できる。
検知器は、入射X線ビームの横に配置されていないため、サンプルの表面の非常に近く(1~2mm)に配置でき、サンプルから放出される蛍光X線のはるかに大きな立体角を収集できる。
放出されたX線の定量化は、特定のエネルギー対象領域(ROI)内のX線光子の数を数えるなどの直接抽出、または重複するピークを分離するためのフィッティングから行うことができる。
このような設定は、透過SAXSとXRFを組み合わせたツール内で以下に開示する2つの主な方法で使用できる:
1)ウェハの裏面近く(例えば、5mm未満の距離)に配置し、SiウェハからのSiKa放射線などの蛍光X線を測定して、先行技術で知られている設定より高い精度で入射ビームの強度をモニタリングする。
2)限定されないがFinFETおよびゲートオールアラウンド(GAA)トランジスタ、DRAM、NAND、または位相変化や磁気メモリなどの新しいテクノロジーを含む、ロジックまたはメモリ構造など、基板上または基板内にパターン化された構造から放出されるX線を測定するために、ウェハの前面近く(たとえば、5mm未満の距離)に配置される。XRF信号とSAXS信号は順次または同時に測定でき、uXRF信号は、W金属を含む構造内の材料の体積をモニタリングしてボイドを定量化およびモニタリングするための独立した使用を含む、さまざまな方法で使用できる。
どちらの設定でも、XRF検知器は十分に小さいため、必要に応じてサンプルと一緒に回転して、サンプルの表面に近接した状態を維持できる。例示としてのそのような検知器の例は、PNDetector(ミュンヘン、ドイツ)によって製造されたRococoシリーズである。
透過SAXSシステムのX線ビームは通常15keVを超える比較的高いエネルギーを持っているため、この入射X線ビームによって励起できるのはWなどの比較的原子番号の大きい材料だけである。したがって、別の一実施形態では、第2のX線ビームが、SAXS X線ビームのエネルギーとは異なるエネルギーでサンプルの前面に入射する可能性があることが想定される。エネルギー、サイズ、角度発散を含むこのビームの特性は、SAXSビームとは異なる場合があり、そしてSAXSビームによっては効率的に励起されない要素からの蛍光を励起するように個別に最適化される。可能な励起には、Cu、Rh、Moなどの一般的なX線管からのX線放出が含まれるが、これらに限定されない。
図23および24は、サンプル190の上流に配置されX線130がXRF検知器750を通過してサンプル190に衝突することを可能にするXRF検知器750を示している。図23では、X線はサンプル190およびXRF検知器750に垂直である。図24では、X線はサンプルおよびXRF検知器750に対して垂直ではない。
XRF検知器は小さく、サンプルと一緒に回転する可能性のあるほどサンプル190に非常に近い。
XRF検知器がサンプル190に近接していることと、X線が通過できる開口部により、XRF検知器は広い立体角範囲で放出された蛍光X線を収集できる。大きな立体角の範囲は、0.5srを超える場合も、約1srである場合も、1srを超える場合もある。
図25は、サンプル190、X線ビーム130およびXRF検知器750(開口部7501を有する)、およびサンプル190の第2の側を照明する(開口部7501を通過して)第2のX線ビーム132の例を示す。この場合、XRF検知器750は、サンプル190の第2の側またはその内部の構造から放出された蛍光X線を検知することができる。第2のX線ビーム132は、対象となる特定の要素のX線蛍光を励起するように最適化され得る。
図26および27は、XRF検知器750がサンプル190の上流にさまざまなサンプル傾斜角で配置されたシステム10を示している。
図28は、サンプル190の下流に配置され、第2のX線132がXRF検知器750の開口を通過してサンプルを照射するXRF検知器750を備えたシステム10を示している。
図29および30は、サンプルの上流に配置された検知器702(X線強度検知器)およびサンプル190の下流に配置されたXRF検知器750を有し、第2のX線ビーム130´が開口を通してサンプルを照射するシステム10を示す。図29では、検知器702は外側の位置に配置されており、図30では、検知器702は測定位置にある。
X線ビーム全体の検知は、X線ビームの一部をサンプリングするよりも正確な場合がある。
図31は、方法1200を示している。
方法1200は、ステップ1210、1220、1230、1240、1250、および1260を含み得る。
ステップ1210は、マウントによってサンプルを保持するステップを含み得る。
ステップ1220は、X線ビームをサンプルの第1の側に向けるステップを含み得る。
ステップ1210は、サンプルの第1の側から5ミリメートル未満の範囲内にXRF検知器を配置するステップを含み得るか、またはそのステップに続きうる。
ステップ1210は、XRF検知器をサンプルの第2の側の下流に配置するステップを含み得るか、またはそのステップに続きうる。
ステップ1230は、サンプルの第2の側に対して下流に配置された小角X線散乱(SAXS)検知器によって、サンプルを透過し、そして第2の側から出たX線によって形成されたSAXSパターンの少なくとも一部を検知するステップを含み得る。
ステップ1210は、XRF検知器を第2の側の下流に配置することを含み得るか、またはそれに続くことができ、ステップ1230は、XRF検知器の開口を通過するSAXSパターンの少なくとも一部を検知するステップを含み得る。
XRF検知器は、移動メカニズムによって、測定位置(XRF測定を実行する位置)と外側の位置の間で移動できることに注意されたい。
ステップ1240は、X線蛍光(XRF)検知器によって、サンプルから放出された蛍光X線を検知するステップを含み得る。
ステップ1250には、検知への応答が含まれる場合がある。応答には、サンプルの評価、X線ビーム特性の評価などが含まれ得る。
方法1200はまた、サンプルの上流にXRFを配置し、XRF検知器によって検知された蛍光X線に基づいてX線ビームの強度を決定するステップを含み得る。
XRF検知器には開口部が含まれる場合がある。 X線ビームは開口部を通過する場合がある。
XRF検知器は、サンプルの第1の側の上流に配置できる。
ステップ1220は、開口部を通過するようにX線を向けるステップを含み得る。
方法1200はまた、XRFをサンプルの下流に配置するステップ(例えば、サンプルの第2の側に面するステップ)、およびXRF検知器の開口を通過し得る別のX線ビーム(1260)でサンプルを照射するステップを含み得る。ステップ1260の後にステップ1220が続く場合がある。
サンプルは、X線ビームと他のX線ビームによって同時に、異なる時点で、または部分的に重複する期間に照射される場合がある。
XRF検知器は、広い立体角範囲にわたってサンプルから放出される蛍光X線を検知するように成形および配置することができる。大きな立体角の範囲は、0.5srを超える場合、約1srの場合、1srを超える場合もある。
ステップ1240は、XRF検知器の1つまたは複数の放射線検知要素によって、サンプルから放出された蛍光X線を検知するステップを含み得る。
(HARホールの方向の決定)
一配列のHARホールのスタックの内のHARホールの方向性を決定するための方法が提供され得る。決定は、一配列のHARホールのスタックの内のHARホールの方向性を示す方向情報を生成するステップを含み得る。
その配列には、実質的に同一のHARホールのスタックが含まれ、また、配列を照射するステップによって得られる小角X線散乱(SAXS)パターンは、各スタックのHARホールの方向性を示すと想定される。
この方法は、1つのスタックの1つまたは複数のHARホールのウェハの表面に対する向きを決定するステップを含み得る。この方法は、そのスタックのHARホールの間がアライメントされていないことを決定するステップを含み得る。
図32の下部は、HARホールのアライメントされたスタック800の1配列を示している。図32の上部は、HARホールのアライメントされていないスタック800の1配列を示している。
図33の左側は、第1のHARホール801および第2のHARホール802を含むアライメントされたスタック800を示している。
第1のHARホール801は、ウェハの第1の層812に形成される。
第2のHARホール802は、ウェハの第2の層814に形成される。
第1の層812の上面は811で示されている。第2の層814の底面は818で示されている。第1の層812の下面および第2の層の上面は813で示されている。
両方のHARホールは相互にアライメントされており、ウェハの第1層812の上面811に垂直である。
図33の右側は、第1のHARホール801および第2のHARホール802を含むミスアライメントされたスタック800´を示している。両方のホールは、第1の層812の上面811に垂直ではなく、また相互にミスアラインメントされている(ミスアラインメント角度MA823だけ)。
第1のHARホール801は、法線819に対して第1の角度OR182だけ傾いている。第2のHARホール802は、法線819に対して第2の角度OR282だけ傾いている。
図33の下部は、第1のHARホール801および第2のHARホール802を含むミスアライメントスタック800´´を示している。両方のHARホールは、第1層812の上面811に垂直ではなく、また相互にミスアライメントされている。第1のHARホール801は、法線819に対して第1の角度OR1821だけ傾いている。第2のHARホール802は、法線819に対して第2の角度OR2822だけ傾いている。この例では、OR1はOR2とは異なる。図32の下部はまた、両方のホールを貫通する貫通経路818を示している。経路818は、法線819からOR3824だけ傾いている。
1つのHARホールは第1の表面に垂直であり得、別のHARホールは法線819に対して配向され得ることに留意されたい。さらに別の例では、スタックの1つのHARホールは、スタックの別のHARホールから空間的にオフセットされ得る。スタック-1つのHARホールの中心を、スタックの別のHARホールの中心から離して配置する。
各スタックには3つ以上のHARホールが含まれる場合があることに注意されたい。
図34は、センサの収集角度に対する放射線の強度を表すSAXSパターン1600を示している。SAXSパターンの中心は、ゼロ収集角度に対応する。
1つまたは複数のパターンの角度範囲が定義されている。1つまたは複数の角度範囲は、任意の方法および/または任意のエンティティによって定義することができる。
1つまたは複数の角度範囲は、固定、時間の経過とともに変化、機械学習を使用して定義する、またはその他の方法で定義することができる。方向性情報を提供するために、角度範囲を選択することができる。
配列と照明X線の間のさまざまな角度関係に対してさまざまなSAXSパターンが取得される。配列を回転させることによって、および/または回転軸を中心にX線を回転させることによって、異なる角度関係を得ることができる。
図53は、2レベルのHARホールの配列を照射したときに得られる2D小角X線散乱(SAXS)パターンの例を示している。これは、ホールの上部レベルと下部レベルの間の面内空間シフトによる干渉パターンを示している。x 方向とy 方向のシフト値は、それぞれXJSとYJSで表される。
この方法は、異なるSAXSパターンのそれぞれについて、1つまたは複数の角度範囲のそれぞれの内の合計強度を計算するステップを含み得る。
この方法はまた、(ii)異なるSAXSパターンの1つ以上の角度範囲に関連する1つ以上の合計強度(異なる角度関係に関連する)と(ii)照明X線とサンプルの間の異なる角度関係との間の1つ以上の関係(角度-合計強度の関係)を計算するステップを含み得る。
これらの1つまたは複数の角度と合計強度の関係を処理して、方向性とn平面のシフト情報を提供することができる。
X線散乱の強度は、周囲の環境に対する散乱構造の電子密度の差に比例する。したがって、関係の合計には、第1のHARホールの方向性に対応する第1のピークと、第2のHARホールの方向性に対応する第2のピークがある。
直線貫通経路を通るX線の通過を表す3番目のピーク(図示せず-第1のピークと第2のピークの間にある)が存在する場合がある。
場合によっては、異なるピークが合体される場合があることに注意されたい(たとえば、ピークが互いに十分に離れていない場合)。
角度-合計強度関係を処理するステップは、角度-合計強度関係を1つ以上の(HARホールの既知のスタックの)参照角度-合計強度関係と比較するステップが含まれる場合があり、角度-合計強度の関係にニューラルネットワーク/ディープラーニング/機械学習を適用して方向性および/または空間シフト情報を提供するステップを含む場合があり、または他の方法で角度-合計強度の関係から方向情報を抽出するステップを含む場合がある。処理ステップには、異なるピークだけでなく、コヒーレントに照明されるホールの2つの配列での干渉パターンも含む、傾斜角の限定されたサブセットを使用した物理的モデリングステップが含まれる場合がある。
異なる配列の角度-合計強度の関係を互いに比較できる。
図35は、(上から下へ)3つの角度と合計強度の関係を示している。
第1の角度-合計強度の関係1611-アライメントしたスタックおよび第1の角度範囲1601に対して取得。
第2の角度-合計強度の関係1612-アライメントされたスタックおよび第2の角度範囲1602に対して取得。
第3の角度-合計強度の関係1613-アライメントしたスタックおよび第3の角度範囲1603に対して取得。
図36は、(上から下へ)3つの角度と合計強度の関係を示している。
第4の角度-合計強度の関係1621-ミスアライメントしたスタック(直線の関通路が無い場合)および第1の角度範囲1601の場合。
第5の角度-合計強度の関係1622-ミスアライメントしたスタック(直線の関通路が無い場合)および第2の角度範囲1602の場合。
第6の角度-合計強度の関係1623-ミスアライメントしたスタック(直線の関通路が無い場合)および第3の角度範囲1603の場合。
第5と第6の角度-合計強度の関係には2つの異なるピークが含まれるため、第4の角度-合計強度の関係よりも多くの方向情報が伝達される。
図37は、2つの角度と合計強度の関係を示している。
第7の角度-合計強度の関係1631-アライメントされたスタックおよび第1の角度範囲1601に対して取得。
第8の角度-合計強度の関係1632-ミスアライメントされたスタック(パスをまっすぐ通過しない場合)および第1の角度範囲1601の場合。
第7と第8の角度-合計強度の関係には単一のピークがあるが、互いに異なる。スタックがアライメントしているか、アライメントしていないかを提供する可能性がある。
より詳細な方向性情報は、第7と第8の角度-合計強度の関係から、さらに処理することによって取得できる。たとえば、これらの角度-合計強度の関係を既知のスタックの参照角度-合計強度の関係と比較する。
方向性情報は、異なるピークだけでなく、コヒーレントに照明されるホールの2つの配列での干渉パターンも含む、傾斜角の限定されたサブセットを使用した物理的モデリングから得られる場合がある。
図38は、方法1700を示している。
方法18は、ステップ1710、1720、1730、および1740を含み得る。ステップ1710の後にステップ1720が続く。ステップ1720の後にステップ1730が続く。ステップ1730の後にステップ1740が続く。
ステップ1710において、ウェハと照射X線との間の異なる角度関係について異なるSAXSパターンが取得される。配列を回転させることによって、および/または回転軸を中心にX線を回転させることによって、異なる角度関係を得ることができる。
ステップ1720において、異なるSAXSパターンのそれぞれについて、1つまたは複数の角度範囲のそれぞれの角度範囲内の合計強度が計算される。
ステップ1730において、(i)異なるSAXSパターンの1つまたは複数の角度範囲に関連する1つまたは複数の合計強度(異なる角度関係に関連する)と(ii)照明X線とサンプルの間の異なる角度関係、の間の1つまたは複数の関係(角度-合計強度の関係)を計算する。
ステップ1740において、1つまたは複数の角度-合計強度関係を処理して方向性情報を提供する。
方法1700は、SAXSパターンを取得した装置によって実行され得るか、またはその装置に属さないコンピュータによって計算され得る。
(HARホールの配列に関連する情報を抽出する。)
HARホールの配列に関する情報を抽出するための装置、方法、およびコンピュータプログラム製品が提供され得る。
HARホールの配列とは異なる他の構造によるX線ビームの散乱の寄与をSAXSパターンから実質的に除去し、それによりSAXSパターンがHARホールの配列による散乱をよりよく表すようにすることができる装置、方法、およびコンピュータプログラム製品が提供され得る(他の構造は、たとえば、HAR配列のHARホールとはピッチが大きく異なる構造、その配列のHARホールとは高さが大きく異なる構造、他の構造は非反復構造を形成する場合があり、1つまたは複数の追加の反復構造の場合がある)。説明を簡単にするために、これらの他の構造は1つまたは複数の追加の反復構造であると想定されている。HARホールは、HAR構造の非限定的な例である。
この方法は、SAXSパターンから他のノイズ-たとえば限定されないが、アクティブ領域の後ろに配置された検知器の電子回路からの散乱など-を実質的に除去するために使用できる。アクティブ領域に到達する散乱パターンの少なくとも一部は、アクティブ領域を通過し、電子回路に到達し、(電子回路によって)アクティブ領域に向かって後方散乱され得る。
電子回路からの散乱は、SAXSパターンから除去できるノイズの非限定的な例に過ぎない。そのような散乱は重要ではない可能性があり、方法、システム、およびコンピュータプログラム製品は、他のノイズに準用することができ、および/またはそのような散乱が重要でない場合でも適用することができる。
半導体サンプルの他の散乱要素(1つまたは複数の追加の反復構造など)を「背景」輻射のソースとして処理することにより、複雑な構造(HARホールなど)を分析できる装置、方法、およびコンピュータプログラム製品が提供されうる。簡略化されたモデルでは、強度は、HARホールからの強度と、1つ以上の追加の反復構造(下層と呼ばれる)からの強度のインコヒーレントな合計であると想定される。即ち、I_total(q)= I_HAR(q)+ I_underlayers(q)+ I_system(q)= I_HAR(q)+ I_effectiveBacground(q)。
HARホールの分析には、信頼できるモデル(下層の複雑さまたは単に不明なために不可能な場合がある)またはI_underlayers(q)+ I_system(q)の推定値が必要になる場合がある。
この「背景」強度分布を推定するために、X線ビームと半導体サンプルの間に相対傾斜を導入して(たとえば、半導体サンプルをオメガ軸を中心に回転させることにより)、それは十分に高く、I_HAR(q)~0であり、それは通常5~10度の範囲のどこかにある(厳密な範囲ではなく一例である)。
次に、これらのデータを直接使用するか、非線形回帰を使用してフィッティングし、X線分析で検知されるようなピーク関数(ガウス、ロ-レンツ、疑似ヴォイグト、ピアソン-VIIの合計など)を含むパラメトリックモデルを作成する。経験的背景を直接使用することは、一般的なピーク関数を使用して適切にモデル化できない場合、たとえば、システムのスリットからの散乱強度の場合に有利な場合がある。
この「有効な背景」モ-ドのパラメータが決定されると、それらは一定に保たれるか、わずかに変化し、総散乱強度分布をモデル化するために、強度の寄与が低傾斜角でI_HAR(q)に追加される。
下層からの強度を考慮することにより、HARホール分析のより正確な結果が得られる。
図39は、方法1900を示している。
方法1900は、半導体要素とX線ビームとの間に第1の角度関係を導入するステップ1910によって開始されうる。
ステップ1910の後に、第1の角度関係が維持され、センサによって第1のSAXSパターンを検知しながら、半導体オブジェクトをX線ビームで照射するステップ1920が続きうる。
第1の空間的関係が維持されている間、X線ビーム(半導体素子に衝突する前)はHARホールとアライメント(または実質的にアライメント)し、1つまたは複数の追加の反復構造の長手方向軸に垂直(または実質的に垂直)である。
第1の空間関係が維持されている間、センサは後方散乱輻射成分(電子回路からの後方散乱)を有し、そして、HARホールの配列や1つ以上の追加の反復構造の影響を強く受ける散乱パターンも含む、第1のSAXSパターンを検知する。
ステップ1920の後に、半導体要素とX線ビームとの間に第2の角度関係を導入するステップ1930が続く場合がある。
ステップ1930の後に、第2の角度関係が維持されている間に半導体オブジェクトをX線ビームで照射し、第2のSAXSパターンをセンサで検知するステップ1940が続く場合がある。
第2の空間関係が維持されている間、X線ビーム(半導体素子に衝突する前)はHARホールとミスアライメントされており(または実質的にミスアライメントされており)、1つまたは複数の追加の反復構造の縦軸に対して斜め(または実質的に斜め)になっている。
第2の角度関係が維持され、第2の空間関係が維持されている間、センサは、後方散乱放射成分(電子回路からの後方散乱)を有し、そして1つまたは複数の追加の反復構造の影響を依然として強く受け、しかしHARホールの配列による影響がより少ない、散乱パターンを含む、第2のSAXSパターンを検知する。
ステップ1940の後に、第1のSAXSパターンと第2のSAXSパターンとを比較してHARホールの配列に関する情報を生成するステップ1950が続く。
特に-ステップ1950には、第2のSAXSパターンから第1のSAXSパターンを差し引いて、HARホールの配列によるX線ビームの散乱を表すSAXSパターンを提供することが含まれる場合がある。
角度関係の変更は、X線ビームおよび半導体オブジェクトの少なくとも1つを回転させることによって実行することができる。
第1の空間的関係の維持は、X線ビームと半導体オブジェクトとの間の第1の角度範囲に関連し得る。HARホールのアスペクト比が10:1を超える場合(例えば、40:1であり得る)、第1の角度範囲は、完全なアライメントからプラス2度からマイナス2度の範囲になり得ることが見出された。
第2の空間的関係の維持は、X線ビームと半導体オブジェクトとの間の第2の角度範囲に関連し得る。HARホールのアスペクト比が10:1を超える場合(例えば、40:1であり得る)、第2の角度範囲は、完全なアライメントから少なくとも2または3度の偏差を含み得ることが見出された。
代替的または追加的に、この方法は、スクライブラインテストパッドなどのHARホールを含まないサンプルの一部にサンプルを変換し、HARホールの配列による影響が少ない追加のSAXSパターンを取得することを含み得る。
第2のSAXSパターンおよび/または追加のSAXSパターンは、(第1のSAXSパターンと共に)HARホールの配列の寄与を分離するために使用できる背景SAXSパターンでありうるSAXSパターンを提供するために使用されうる。
図40は、半導体オブジェクトなどのサンプルの例を示している。
半導体オブジェクトは、HARホール1882の配列と、トランジスタ1884(2)および相互接続1884(1)などの1つまたは複数の追加の反復構造とを含む。HARホール1882は、垂直NAND(または3D NAND)メモリ配列内の複数の層の間に配置され得る。
1つまたは複数の追加の反復構造を形成する構造要素のアスペクト比は、HARホールのアスペクト比よりもはるかに小さくなる。これらの構造要素は、HARホールよりも(x 軸に沿って)はるかに薄い場合がある。したがって、HARホールに起因する散乱は、1つまたは複数の追加の反復構造に起因する散乱よりも回転に対してはるかに敏感である。
図41は、半導体オブジェクトとX線散乱計測装置の一部の例を示している。この図では、第1の角度関係は、X線1852と半導体オブジェクト1880の間で維持されている。
図41では、HARホール1882と1つまたは複数の追加の反復構造(まとめて1884と表記)がX線を散乱させ、HARホールの配列による、そして1つ以上の追加の反復構造による、X線ビームの散乱によって生成される散乱パターン1854を提供する。
センサ1820は、アクティブ領域1822および電子回路1824を有する。電子回路は、放射線を後方散乱させ後方散乱放射線1856を提供する。
センサ1820は、(電子回路から後方散乱された)後方散乱放射線成分を有し、また、HARホールの配列による、そして1つまたは複数の追加の反復構造によるX線ビームの散乱によって生成される散乱パターンを含む、第1のSAXSパターンを検知する。
図42は、半導体オブジェクトとX線散乱計測装置の一部の例を示している。この図では、第2の角度関係がX線1852と半導体オブジェクト1880の間で維持されている。
図42では、HARホール1882は第2のSAXSパターンにほとんど影響を与えないが、一方1つ以上の追加の反復構造(まとめて1884と表記)はX線を散乱させ、1つまたは複数の追加の反復構造による光線ビームの散乱によって生成される散乱パターン1854を提供する。
電子回路1824は放射線を後方散乱し、後方散乱放射線1856を提供する。
センサ1820は、後方散乱放射線成分(電子回路から後方散乱される)を有し、1つまたは複数の追加の反復構造によるX線ビームの散乱によって生成される散乱パターンも含む、第2のSAXSパターンを検知する。
(異なる角度からオブジェクトを評価する)
半導体オブジェクトを異なる角度から検査するための装置、方法、およびコンピュータプログラム製品が提供され得る。
半導体オブジェクトには、構造要素の配列が含まれている。これらの構造要素は、周囲の電子密度とは実質的に異なる電子密度を有している。これらの構造要素は、特定の方向の長手方向軸を持ち、高いアスペクト比(HAR)を示す。
構造要素の非限定的な例は、HARホール(充填されたHARホールまたは充填されていないHARホールのいずれか)である。構造要素はHARホールとは異なる場合があることに注意されたい。説明を簡単にするために、構造要素はHARホールであると想定されている。
X線が構造とアライメントしているときに最も強い散乱(最も強いSAXSパターン)が発生するのは、これがネットパスを最小化する方向であり、したがって、配列内のオブジェクト間の位相差が最小化され、破壊的な干渉による強度の低下が最小化されるためである。この「順方向」方向では、強度が高いために目に見える回折ピークの数が最も多く、x 軸とy 軸の両方に沿った2つの方向で良好な角度分解能を得ることが有益な場合がある。
この設定からの角度偏差(半導体オブジェクトに当たる前)は、低品質のSAXSパターンを提供する。
本発明の一実施形態によれば、1つまたは複数のX線ビームパラメータ(X線ビームの形状および/またはサイズなど)を、X線ビームと半導体オブジェクト間の角度関係の関数として決定する方法が提供され得る。
たとえば、X線ビームが(半導体オブジェクトに当たる前に)HARホールとアライメントされている場合(センサと半導体オブジェクトが互いに平行であると仮定)、X線ビームはx軸およびy軸の両方でコリメートされる可能性がある。これは、例えば、センサの平面、半導体オブジェクトの平面、およびX線ソースの出力-例えばビームの断面を形作るマイクロスリットの平面においてX線ビームの円形断面の結果となるであろう。
提案されたシステムおよび方法は、(サンプル上の)照明領域を変更することなく、サンプルを照明する角度範囲を変更することができる。特定の空間的関係では、フィーチャ/サンプルに垂直なビームの近くに低い範囲の入射角(コリメートされたビーム)が存在する可能性があり、別の空間的関係(たとえば、より大きな傾斜)では、角度範囲が増加する可能性がある。どちらの場合も、(サンプル上の)スポットは、たとえばX線ビームをスポットに集束させることにより、比較的小さな領域に維持できる。スリット(開口部)は、光学系の前、かつソースに向かってサンプルの手前にあり、角度の範囲を広げるために、拡げられうる。さらに、提案されたシステムおよび方法は、光学系の前およびサンプルの前のスリットの開口のサイズを変更することによって、サンプルを照明する角度範囲を変更することなく、照明の領域を変更することができる。
半導体オブジェクトが検知器とX線ビームに対して回転していると仮定すると、X線ビームはセンサの平面およびX線ソースの出力で、x 軸に沿ってコリメートされ、一方でy 軸に沿ってコリメートされず-そして楕円形を有する可能性がある。半導体オブジェクトの平面では、X線ビームは円形である。
楕円の離心率は、角度ミスアライメントによって変化する。
入射角の範囲が広いと、SAXSパターンの強度が増す可能性があるが、SAXSパターンの回折次数間の重複が増える可能性がある。傾斜角が増加するにつれての重複は許容され、有益である可能性がある-より低い角度のミスアライメントで得られた、より少ない重複または重複のないSAXSパターンを使用して取得された、SAXSパターンを使用することによって補償されうる。
回折ピークが少なく、サンプルの傾斜角が小さい場合と比較して強度が比較的低いため、強度の増加は、傾斜角が大きい場合の角度分解能の低下を上回って有益な場合がある。
サンプルでの角度的照明範囲の変更は、様々な方法で実行することができる-例えば、調整可能な形状および/またはサイズの開口部を使用することによって、形状および/またはサイズが互いに異なる開口部の間で選択することによって、コリメートされかつ集束されたビームに対し最適化されたさまざまな反射および/または回折光学系を使用することによってなど。
X線ビームのパラメータ(例えば、X線ビームの楕円形断面のサイズおよび/または離心率)は、以下のうちの少なくとも1つに基づく1組でありうる:
X線ビームと、HARホールとX線ビームがアライメントする特定の方向との間の偏角。例えば、ビームのサイズは、サンプル上の一定の照明領域を維持するためにサンプルの角度が増加するにつれて減少する-これは、小さなテストパッド構造にとって重要である可能性がある。また、X線ビームがHARホールの軸に実質的に平行である場合、個々の回折次数を明確に分解し、配列内の秩序の乱れに対する感度を高めるために-これはHARホールの平均形状を評価するために使用されるより高い発散ではアクセスできないが-、X線ビームの発散を減らす(解像度を上げる)ことができる。例として、面内間隔が約150nmのHARホールの場合、一般的な高解像度の発散は約0.2mradであるのに対し、平均形状の評価に使用される高フラックスの発散は約0.4~0.5mradである。
検知されたSAXSパターンの測定および/または推定されたパラメータ(限定されないが、SAXSパターンの測定および/または推定されたSNRなど)。たとえば、
HARトレンチなど、構造の形状を決定するためにサンプルの平面内の両方向で高解像度を必要としない2D構造を測定する場合、X線ビームの発散角を一方向に調整して、入射フラックスを大幅に向上させ、正確度/精密度またはスループットを向上させることができる。
SAXSパターンの異なるローブ間で予想される重複は、入射X線ビームの発散に対する構造のピッチに依存する。ピッチ構造が小さいほど、隣接する回折次数間の間隔が大きくなり、従って大きなピッチ構造よりも高いフラックスの高分散ビームから恩恵を受ける。たとえば、ピッチが100nm未満のダイナミックランダムアクセスメモリ(DRAM)コンデンサ構造からの散乱は、ピッチが約150nmで発散が0.5を超える3DNANDチャネルホールの発散が大きい(たとえば0.5mradを超える)ビームで有利に測定できる。0.5mradを超える分散は、隣接する回折次数の間で大きな重複を引き起こし、後の構造からのプロファイルの性格度と精密度を低下させる。
以前の測定ですでに得られた情報-特に以前の測定中にX線ビームと半導体オブジェクトの間に1つ以上の異なる角度関係が存在した場合。
半導体オブジェクトの構造の重要性および/または優先度、またはSAXSパターンのローブ間の重複領域に存在する可能性のある情報の関連性。たとえば、HARホールの2つの配列の垂直スタックの場合、配列間の面内オフセットに関する情報は、隣接する回折次数間の間隔と比較して干渉パターンの頻度がかなり低いため、正確な形状のホールが必要である場合よりも、発散が大きく、フラックスが高く、スループットが高いビームを使用して決定できる。(図53を参照)
X線ビームの形状は、楕円形または非楕円形であり得るか、多角形、湾曲した形状であり得ることに留意されたい。
X線ビームのエネルギー密度は測定ごとに変わる可能性があることに注意されたい。
図43は、方法1000を示している。
方法1000は、X線ビームのパラメータ(強度、発散、形状およびサイズのうちの少なくとも1つなど)を受信または決定し、半導体要素とX線ビームとの間の角度関係を決定するステップ1010によって開始しうる。
ステップ1010の後に、半導体要素とX線ビームとの間に角度関係を導入するステップ1020が続きうる。
ステップ1020の後に、角度関係が維持されている間にパラメータを有するX線ビームによって半導体オブジェクトを照射し、センサによってSAXSパターン(または任意の他の信号)を検知するステップ1030が続きうる。
ステップ1030の後にステップ1010が続く場合があり、その間に、方法は、(a)X線ビームの1つまたは複数のパラメータ、および(b)角度関係のうちの少なくとも1つを変更することができる。
ステップ1010~1030の複数の反復が実行され得る。
ステップ1010の決定は、ステップ1030の結果に応答することができる。例えば、SAXSパターン(または任意の他の信号)を処理および/または分析して、SAXSパターン(または任意の他の信号)の1つまたは複数のパラメータを決定することができる。 )。
ステップ1010は、以下のうちの少なくとも1つに基づいて、X線ビームのパラメータ(例えば、楕円形断面のサイズおよび/または偏心)を決定することを含み得る:
a.偏角。
b.検知されたSAXSパターンの測定および/または推定されたパラメータ(限定されないが、SAXSパターンの測定および/または推定されたSNRなど)。
c.SAXSパターンの異なるローブ間で予想される重複。
d.以前の測定で既に得られた情報-X線ビームと半導体オブジェクトの間に1つ以上の異なる角度関係が存在した場合。
e.半導体オブジェクトの構造の重要性および/または優先順位。
f.SAXSパターンのローブ間の重複領域に存在しうる情報の関連度。
(例えば-)
X線ビームとHARホールがアライメントする特定の方向とX線ビームとの間の偏角。例えば、サンプル上の一定の照明面積を維持するため、ビームのサイズはサンプルの角度が増加するにつれて減少することができ、これは、小さなテストパッド構造にとって重要である可能性がある。また、X線ビームがHARホールの軸に実質的に平行である場合、個々の回折次数を明確に分離し、HARホールの平均形状を評価するために使用されるより高い発散ではアクセスできない、配列内の無秩序に対する感度を高めるために、X線ビームの発散を減らす(解像度を上げる)ことができる。一例として、面内間隔が約150nmのHARホールの場合、一般的な高解像度の発散は約0.2 mradであるのに対し、平均形状の評価に使用される高フラックスの発散は約0.4~0.5mradである。
検知されたSAXSパターンの測定および/または推定されたパラメータ(限定されないがSAXSパターンの測定および/または推定されたSNRなど)。たとえば、X線ビームの発散角を一方向に調整して、入射フラックスを大幅に向上させ、HARトレンチなど、構造の形状を決定するためサンプルの平面内の両方向で高解像度を必要としない2D構造を測定する際の正確度/精密度またはスループットを向上させることができる。
SAXSパターンの異なるローブ間で予想される重複は、入射X線ビームの発散に対する構造のピッチに依存する。ピッチ構造が小さいほど、隣接する回折次数間の間隔が大きくなり、従って大きなピッチ構造よりも高いフラックスの高分散ビームから恩恵を受ける。たとえば、ピッチが100nm未満のダイナミックランダムアクセスメモリ(DRAM)コンデンサ構造からの散乱は、ピッチが約150nmで発散が0.5を超える3DNANDチャネルホールの発散が大きい(たとえば0.5mradを超える)ビームで有利に測定できる。0.5mradを超える分散は、隣接する回折次数の間で大きな重複を引き起こし、後の構造からのプロファイルの性格度と精密度を低下させる。
以前の測定ですでに得られた情報-特に以前の測定中にX線ビームと半導体オブジェクトの間に1つ以上の異なる角度関係が存在した場合。
半導体オブジェクトの構造の重要性および/または優先度、またはSAXSパターンのローブ間の重複領域に存在する可能性のある情報の関連性。たとえば、HARホールの2つの配列の垂直スタックの場合、配列間の面内オフセットに関する情報は、隣接する回折次数間の間隔と比較して干渉パターンの頻度がかなり低いため、正確な形状のホールが必要である場合よりも、発散が大きく、フラックスが高く、スループットが高いビームを使用して決定できる。(図53を参照)
ステップ1010-1030の1つ以上の反復中に、半導体オブジェクトが特定の方向に伝搬するX線で照射される場合があることに注意する必要がある。
図44に、半導体オブジェクトの例を示す。
半導体オブジェクトは、HARホール1882の配列を含む。HARホール1882は、垂直NAND(または3D NAND)メモリ配列またはテスト構造内の複数の層の間に配置され得る。
図45は、半導体オブジェクトとX線散乱計測装置の一部の例を示している。この図では、第1の角度関係は、X線1852と半導体オブジェクト1880の間で維持されている。
図45では、HARホール1882は、X線を散乱させて、HARホールの配列によるX線ビームの散乱によって生成される散乱パターン854を提供する。
図46は、半導体オブジェクトとX線散乱計測装置の一部の例を示している。この図では、第2の角度関係がX線1852と半導体オブジェクト1880の間で維持されている。
図47-52は、(a)マイクロスリット140の平面140´、(b)半導体オブジェクト190の平面190´、および(c)センサ140の平面124´でのX線ビームの断面を示している。
図47-50は、4つの角度関係の4つの例を示している-アライメントからスタートし(図47-3つの平面124´、190´、140´における-円形断面1011、1021、1031)、そして図48、49、および50において楕円形の断面が偏心が拡大(ミスアライメントの増加に対応)しながら続き(平面124´での楕円形断面1012、1013、および1014、平面140´での楕円形断面1032、1033、および1034)-そして半導体平面190´で円形断面1022、1023および1024。
図51は、入射ビームがHARホールとアライメントされたときに得られた重複しないSAXSパターン1041を示し、また、入射ビームがHARホールとアライメントされていないときに得られた重なり合うSAXSパターン1042を示している。
図52は、タイルが高い場合に得られるSAXSパターン1043を示している。これは、発散が大きく、強度が小さいことを示している。
X線装置であって:サンプルを保持するように構成されたマウントと;X線ビームをサンプルの第1の側面に向けるように構成されたX線ソースと;サンプル測定期間中に、サンプルを透過したX線の少なくとも一部を検知するように構成される、サンプルの第2の側面の下流に配置された検知器と;そしてビーム強度モニタリング期間中に、X線ソースとサンプルの第1の側面との間に位置する測定位置に配置され、X線ビームがサンプルに到達する前のX線ビームの少なくとも一部を検知するためのX線強度検知器と;を有する、ことを特徴とするX線装置が提供されうる。
サンプル測定期間とビーム強度モニタリング期間とが重ならない。
測定位置に配置された時に、X線強度検知器は、X線ビーム全体を受信するように構成される。
X線装置はX線強度検知器を(a)測定位置と、(b)X線強度検知器がX線ビームの経路の外側に配置される外側位置と、の間で移動させるように構成される機械的機構を含みうる。
機械的機構は、サンプルの第1の側面に平行な平面内での回転運動によって、測定位置と外側位置との間でX線強度検知器を移動させるように構成される
機械的機構は、サンプルの第1の側面に平行ではない平面内での回転運動によって、測定位置と外側位置との間でX線強度検知器を移動させるように構成される。
機械的機構は、サンプルの第1の側面に平行な平面内のライナーの動きによって、測定位置と外側位置との間でX線強度検知器を移動させるように構成される。
機械的機構は、サンプルの第1の側面に平行ではない平面内のライナーの動きによって、X線強度検知器を測定位置と外側位置との間で移動させるように構成される。
測定位置の上流に位置するビームリミッタを有し、ビームリミッタは、X線ビームの形状とX線ビームの断面のサイズの内の少なくとも1つを決定するように構成された、少なくとも1つの機械的要素を有する。
機械的機構は、少なくとも1つの機械的要素に平行な動きによって、測定位置と外側位置との間でX線強度検知器を移動させるように構成される。
機械的機構は、少なくとも1つの機械的要素に平行でない動きによって、測定位置と外側位置との間でX線強度検知器を移動させるように構成される。
ビームリミッタは、X線ビームが通過するスリットを画定するように相互に近接して配置された、それぞれ第1および第2のエッジを有する第1および第2のブレードをサンプルの第1の側から25mm未満の距離に備え;そしてスリットの幅を調整するために、第1および第2のブレードをそれぞれ第1および第2の並進軸に沿ってシフトさせるように構成された第1および第2のアクチュエータを備える。
サンプル測定期間およびビーム強度モニタリング期間は、部分的に重複する。
X線装置が半導体計測ツールである。
マウントによりサンプルを保持するステップと;X線ビームをサンプルの第1の側面に指向させるステップと;サンプル測定期間中に、サンプルの第2の側の下流に配置された検知器により、サンプルを透過して第2の側面から出たX線の少なくとも一部を検知するステップと;そしてビーム強度モニタリング期間中に、そしてX線ソースとサンプルの第1の側面との間に位置する測定位置に配置されたX線強度検知器によって、X線ビームがサンプルに到達する前にX線ビームの少なくとも一部を検知するステップと;を有することを特徴とする方法が提供されうる。
サンプル測定期間とビーム強度モニタリング期間とは重複しない。
測定位置に配置されたときに、X線強度検知器は、X線ビーム全体を受信するように構成される。
X線強度検知器を(a)測定位置と、(b)X線強度検知器がX線ビームの経路の外側に配置される外側位置と、の間で移動させるように構成される機械的機構を備える。
サンプルの第1の側面に平行な平面内での回転運動によって、測定位置と外側位置との間でX線強度検知器を移動させるステップを有する。
サンプルの第1の側面に平行でない平面内での回転運動によって、測定位置と外側位置との間でX線強度検知器を移動させるステップを有する。
サンプルの第1の側面に平行な平面内のライナーの動きによって、測定位置と外側位置との間でX線強度検知器を移動させるステップを有する。
サンプルの第1の側面に平行でない平面内のライナーの動きによって、測定位置と外側位置との間でX線強度検知器を移動させるステップを有する。
測定位置の上流に位置し、少なくとも1つの機械的要素を有するビームリミッタにより、X線ビームの形状とX線ビームの断面のサイズの内の少なくとも1つを決定するステップを有する。
少なくとも1つの機械的要素に平行な動きによって、X線強度検知器を測定位置と外側位置との間で動かすステップを有する。
少なくとも1つの機械的要素に平行でない動きによって、X線強度検知器を測定位置と外側位置との間で動かすステップを有する。
ビームリミッタは、X線ビームが通過するスリットを画定するように相互に近接して配置された、それぞれ第1および第2のエッジを有する第1および第2のブレードをサンプルの第1の側から25mm未満の距離に備え;そしてスリットの幅を調整するために、第1および第2のブレードをそれぞれ第1および第2の並進軸に沿ってシフトさせるように構成された第1および第2のアクチュエータを備える。
サンプル測定期間およびビーム強度モニタリング期間が部分的に重複する。
次の命令を保存する非一過性コンピュータ可読媒体:マウントによりサンプルを保持するステップと;X線ビームをサンプルの第1の側面に指向させるステップと; サンプル測定期間中に、サンプルの第2の側の下流に配置された検知器により、サンプルを透過して第2の側面から出たX線の少なくとも一部を検知するステップと;そしてビーム強度モニタリング期間中に、そしてX線ソースとサンプルの第1の側面との間に位置する測定位置に配置されたX線強度検知器によって、X線ビームがサンプルに到達する前にX線ビームの少なくとも一部を検知するステップ、が提供されうる。
X線装置であって:サンプルを保持するように構成されたマウントと;X線ビームをサンプルの第1の側面に向けるように構成されたX線ソースと;サンプルの第2の側面の下流に配置され、サンプルを透過して第2の側面から出たX線によって形成されたSAXSパターンの少なくとも一部を検知するように構成された小角X線散乱(SAXS)検知器と;サンプルから放出された蛍光X線を検知するように構成され、開口部を備える蛍光X線(XRF)検知器と;を有することを特徴とするX線装置が提供されうる。
XRF検知器がサンプルの第1の側面の上流に配置されている。
XRF検知器が開口部を含み、X線ソースが、開口部を通過するようにX線ビームを配向するように構成される。
XRF検知器が、サンプルの第1の側面から5ミリメートル以内に配置されている。
XRF検知器がサンプルの第2の側面の下流に配置されている。
開口部は、SAXSパターンの少なくとも一部がSAXS検知器に到達することを可能にするように成形および寸法決めされている。
別のX線ビームを開口部を通過するように配向するように構成された追加のX線ソースを有する。
XRF検知器が、サンプルから放出された蛍光X線を大きな立体角にわたって検知するように成形されそして配置されている。
XRF検知器が、少なくとも1つの独立した放射線検知セグメントを有する。
XRF検知器が、少なくとも1つの独立したシリコンドリフト検知器を含む。
マウントによってサンプルを保持するステップと;X線ビームをサンプルの第1の側面に配向するステップと;サンプルの第2の側面の下流に配置された小角X線散乱(SAXS)検知器によって、サンプルを透過し、サンプルを通過し、第2の側面からでたX線によって形成されたSAXSパターンの少なくとも一部を検知するステップと;開口部を備える蛍光X線(XRF)検知器により、サンプルから放出された蛍光X線を検知するステップと;を有することを特徴とする方法が提供されうる。
XRF検知器が、サンプルの第1の側の上流に配置される。
XRF検知器が開口部を含み、方法は、X線ソースにより、開口部を通過するようにX線ビームを配向するステップを有する。
XRF検知器が、サンプルの第1の側面から5ミリメートル以内に配置されている。
XRF検知器が、サンプルの第2の側面の下流に配置される。
開口部は、SAXSパターンの少なくとも一部がSAXS検知器に到達することを可能にするように成形および寸法決めされる。
開口部を通過するように別のX線ビームを配向するように構成された追加のX線ソースを有する。
XRF検知器が、サンプルから放出された蛍光X線を大きな立体角にわたって検知するように成形および配置されている。
XRF検知器が、少なくとも1つの独立した放射線検知セグメントを有する。
XRF検知器が、少なくとも1つの独立したシリコンドリフト検知器を有する。
次の命令を保存する非一過性コンピュータ可読媒体:マウントによりサンプルを保持するステップと;X線ビームをサンプルの第1の側面に配向するステップと;サンプルの第2の側面の下流に配置された小角X線散乱(SAXS)検知器によって、サンプルを透過し、第2の側面からサンプルを出たX線によって形成されたSAXSパターンの少なくとも一部を検知するステップと;開口部を備える蛍光X線(XRF)検知器により、サンプルから放出された蛍光X線を検知するステップ;が提供されうる。
サンプルの高アスペクト比(HAR)構造の配列の配向を決定するための方法であって:サンプルとサンプルを照らすX線ビームとの間の異なる角度関係または平面内空間関係のうちの少なくとも1つに対する、異なる小角X線散乱(SAXS)パターンを取得するステップと;ここで各SAXSパターンは、SAXSセンサによって検知された散乱X線の角度強度分布を表し;異なるSAXSパターンの少なくともいくつかについて、角度強度分布の少なくとも1つの角度範囲内の強度の少なくとも1つの合計を計算して、第1の複数の合計を提供するステップと;そして、少なくとも第1の複数の合計に基づいて、HARホールの配列の方向を決定するステップと;を有することを特徴とする方法が提供されうる。
決定するステップは、第1の複数の合計を、HARホールの配列の既知の配向に関連する参照合計と比較するステップを有する。
計算するステップは、すべての異なるSAXSパターンについて、少なくとも1つの角度範囲内の強度の少なくとも1つの合計を計算するステップを有する。
計算するステップは、1つまたは複数の異なるSAXSパターンについて計算することにより、角度強度分布の2つ以上の角度範囲内の2つ以上の合計強度を計算するステップを有する。
異なるSAXSパターンを取得するステップは、サンプルを照射して異なるSAXSパターンを提供するX線ビームに対して、サンプルを回転させるステップを有する。
異なるSAXSパターンを取得するステップは、サンプルを照射して異なるSAXSパターンを提供するX線ビームを、サンプルに対して回転させるステップを有する。
高アスペクト比(HAR)ホールの配列を含むサンプルとサンプルを照明するX線ビームとの間のさまざまな角度関係および/または面内空間関係に対して、さまざまな小角X線散乱(SAXS)パターンを取得するステップと;ここで各SAXSパターンは、SAXSセンサによって検知された散乱X線の角度強度分布を表し;異なるSAXSパターンの少なくともいくつかについて、角度強度分布の少なくとも1つの角度範囲内の強度の少なくとも1つの合計を計算して、第1の複数の合計を提供するステップと;そして、少なくとも第1の複数の合計に基づいて、HARホールの配列の方向を決定するステップと;の命令を格納する非一過性コンピュータ可読媒体が提供されうる。
決定するステップは、第1の複数の合計を、HARホールの配列の既知の配向に関連する参照合計と比較するステップを有する。
計算するステップは、すべての異なるSAXSパターンについて、少なくとも1つの角度範囲内の強度の少なくとも1つの合計を計算するステップを有する。
計算するステップは、1つまたは複数の異なるSAXSパターンについて計算することにより、角度強度分布の2つ以上の角度範囲内の2つ以上の合計強度を計算するステップを有する。
異なるSAXSパターンを取得するステップは、サンプルを照射して異なるSAXSパターンを提供するX線ビームに対して、サンプルを回転させるステップを有する。
異なるSAXSパターンを取得するステップは、サンプルを照射して異なるSAXSパターンを提供するX線ビームを、サンプルに対して回転させるステップを有する。
高アスペクト比(HAR)ホールの配列を含むサンプルを保持するように構成されたマウントと;サンプルとサンプルを照射するX線ビームとの間のさまざまな角度関係に対してさまざまな小角X線散乱(SAXS)パターンを取得するように構成されたX線光学系と;ここで、各SAXSパターンは、SAXSセンサによって検知された散乱X線の角度強度分布を表し;(a)異なるSAXSパターンの少なくともいくつかについて、角度強度分布の少なくとも1つの角度範囲内の強度の少なくとも1つの合計を計算して、第1の複数の合計を提供し、そして(b)第1の複数の合計に基づいて、HARホールの配列の配向を決定する、ように構成されるプロセッサと;を有することを特徴とする装置が提供されうる。
決定するステップは、第1の複数の合計を、HARホールの配列の既知の配向に関連する参照合計と比較するステップを有する。
計算するステップは、すべての異なるSAXSパターンについて、少なくとも1つの角度範囲内の強度の少なくとも1つの合計を計算するステップを有する。
計算するステップは、1つまたは複数の異なるSAXSパターンについて計算することにより、角度強度分布の2つ以上の角度範囲内の2つ以上の合計強度を計算するステップを有する。
異なるSAXSパターンを取得するステップは、サンプルを照射して異なるSAXSパターンを提供するX線ビームに対して、サンプルを回転させるステップを有する。
異なるSAXSパターンを取得するステップは、サンプルを照射して異なるSAXSパターンを提供するX線ビームを、サンプルに対して回転させるステップを有する。
サンプルの高アスペクト比(HAR)構造の配列の配向および形状を決定するための方法であって:X線ビームが配列のHARホールに実質的に平行である間、X線ビームでサンプルを照射するステップと;ここで、サンプルは、1つまたは複数の追加の反復構造をさらに有し;ここで、1つまたは複数の追加の反復構造を形成する構造要素のアスペクト比は、HARホールのアスペクト比よりもはるかに小さく;SAXS検知器により第1の小角X線散乱(SAXS)パターンを検知するステップと;サンプルとX線ビームの光軸との間の空間的関係を変更するステップと;X線ビームが配列のHARホールに対して実質的に斜めである間、X線ビームでサンプルを照射するステップと;SAXS検知器により第2のSAXSパターンを検知するステップと;第1と第2のSAXSパターン間の関係を決定するステップと;そして、第1および第2のSAXSパターン間の関係に基づいて、HARホールの配列に関する情報を生成するステップと;を有することを特徴とする方法が提供されうる。
1つまたは複数の追加の反復構造が、HARホールの配列に実質的に平行である。
空間的関係を変更するステップは、X線ビームとサンプルとの間の空間的関係を変更して、第2のSAXSパターンに対する配列の効果を実質的に排除するステップを有する。
空間的関係を変更するステップは、サンプルを回転させるステップを有する。
空間的関係を変更するステップは、X線ビームを回転させるステップを有する。
その関係に基づいて、追加の反復構造と後方散乱X線放射との複合効果を推定するステップを有する。
HARホールの長手方向軸との完全なアライメントから最大2度ずれることによって、X線ビームは配列のHARホールに実質的に平行である。
X線ビームがサンプルに属する高アスペクト比(HAR)ホールの配列のHARホールに実質的に平行である間、X線ビームでサンプルを照射するステップと;ここで、サンプルは、1つまたは複数の追加の反復構造をさらに有し;ここで、1つまたは複数の追加の反復構造を形成する構造要素のアスペクト比は、HARホールのアスペクト比よりもはるかに小さく;SAXS検知器により第1の小角X線散乱(SAXS)パターンを検知するステップと;サンプルとX線ビームの光軸との間の空間的関係を変更するステップと;X線ビームが配列のHARホールに対して実質的に斜めである間、X線ビームでサンプルを照射するステップと;SAXS検知器により第2のSAXSパターンを検知するステップと;第1と第2のSAXSパターン間の関係を決定するステップと;そして、第1および第2のSAXSパターン間の関係に基づいて、HARホールの配列に関する情報を生成するステップと;の命令を格納する非一過性コンピュータ可読媒体が提供されうる。
1つまたは複数の追加の反復構造が、HARホールの配列に実質的に平行である。
空間的関係を変更するステップは、X線ビームとサンプルとの間の空間的関係を変更して、第2のSAXSパターンに対する配列の効果を実質的に排除するステップを有する。
空間的関係を変更するステップは、サンプルを回転させるステップを有する。
空間的関係を変更するステップは、X線ビームを回転させるステップを有する。
関係に基づいて、追加の反復構造と後方散乱X線放射との複合効果を推定するステップの命令を格納する。
HARホールの長手方向軸との完全なアライメントから最大2度ずれることによって、X線ビームは配列のHARホールに実質的に平行である。
高アスペクト比(HAR)ホールの配列を有し、そして1つまたは複数の追加の反復構造を有するサンプルを保持するように構成されたマウントと;ここで、1つまたは複数の追加の反復構造を形成する構造要素のアスペクト比は、HARホールのアスペクト比よりもはるかに小さく;X線光学系であって、(i)X線ビームがHARホールの配列の高アスペクト比(HAR)ホールに実質的に平行である間に、サンプルをX線ビームで照射し、(ii)SAXS検知器により第1の小角X線散乱(SAXS)パターンを検知し、(iii)サンプルとX線ビームの光軸との間の空間的関係を変更し、(iv)X線ビームが配列のHARホールに対して実質的に斜めである間に、X線ビームでサンプルを照射し、そして(v)SAXS検知器により第2のSAXSパターンを検知する、ように構成されるX線光学系と;そして(i)第1および第2のSAXSパターン間の関係を決定し、そして(ii)第1と第2のSAXSパターン間の関係に基づいてHARホールの配列に関する情報を生成する、ように構成されるプロセッサと;を有することを特徴とする装置が提供されうる。
1つまたは複数の追加の反復構造が、HARホールの配列に実質的に平行である。
空間的関係を変更するステップは、X線ビームとサンプルとの間の空間的関係を変更して、第2のSAXSパターンに対する配列の効果を実質的に排除するステップを有する。
空間的関係を変更するステップは、サンプルを回転させるステップを有する。
空間的関係を変更するステップは、X線ビームを回転させるステップを有する。
関係に基づいて、追加の反復構造と後方散乱X線放射との複合効果を推定するステップステップを有する。
HARホールの長手方向軸との完全なアライメントから最大2度ずれることによって、X線ビームは配列のHARホールに実質的に平行である。
構造要素の配列を含むサンプルを評価するための方法であって:サンプルと、第1のコリメーション値を示すX線ビームとの間の第1の角度関係に対する第1の小角X線散乱(SAXS)パターンを取得するステップと;サンプルと、第1のコリメーション値とは異なる第2のコリメーション値を示すX線ビームとの間の第2の角度関係に対する第2のSAXSパターンを取得するステップと;を有し、ここで第1および第2のSAXSパターンを取得するステップは、第1および第2のSAXSパターンの取得中に、サンプルの第1の側面のX線の断面積を実質的に維持するステップを有する、ことを特徴とする方法が提供されうる。
方法は、サンプルとX線ビームとの間の少なくとも1つの追加の角度関係に対する少なくとも1つの追加のSAXSパターンを取得するステップを有し;ここで、それぞれの追加の角度関係、第1および第2の角度関係は互いに異なり;ここで、それぞれの追加のSAXSパターンを取得するステップは、X線ビームのコリメーションを変更しながら、サンプルの第1の側面のX線の断面積を実質的に維持するステップを含みうる。
方法は、少なくとも第1および第2のSAXSパターンに基づいてサンプルを評価するステップを含みうる。
第1のSAXSパターンを取得するステップおよび第2のSAXSパターンを取得するステップは、X線ビームの強度によりさらに互いに異なる。
X線ビームの断面が円形であり、そして第1のコリメーション値と第2のコリメーション値との差が、第1および第2のSAXSパターンの回折次数の偏心を決定する。
第1の角度関係が第1の照明角度であり、第2の角度関係が第2の照明角度であり、ここで第2の照明角度が第1の照明角度より大きく、そして第1のコリメーション値が、第2のコリメーション値を持つX線ビームよりもコリメーションされているX線ビームを表す。
方法は、少なくとも第2のSAXSパターンに関連する信号対雑音比に基づいて、第2のコリメーション値を決定するステップを含みうる。
方法は第2のSAXSパターンのローブ間の予想される重複に少なくとも基づいて、第2のコリメーション値を決定するステップを含みうる。
方法は第1のSAXSパターンから得られた情報に基づいて第2のコリメーション値を決定するステップを含みうる。
方法は構造要素の配列の優先順位または重要性に基づいて第2のコリメーション値を決定するステップを含みうる。
非一過性コンピュータ可読媒体であって:サンプルと、第1のコリメーション値を示すX線ビームとの間の第1の角度関係に対する第1の小角X線散乱(SAXS)パターンを取得するステップであって、サンプルは構造要素の配列を有するステップと;サンプルと、第1のコリメーション値とは異なる第2のコリメーション値を示すX線ビームとの間の第2の角度関係に対する第2のSAXSパターンを取得するステップと;に対する命令を有し、ここで第1および第2のSAXSパターンを取得するステップは、第1および第2のSAXSパターンの取得中に、サンプルの第1の側面のX線の断面積を実質的に維持するステップを有する、ことを特徴とする非一過性コンピュータ可読媒体が提供されうる。
非一過性コンピュータ可読媒体は、サンプルとX線ビームとの間の少なくとも1つの追加の角度関係に対する少なくとも1つの追加のSAXSパターンを取得するステップに対する命令を有し;ここで、それぞれの追加の角度関係、第1および第2の角度関係は互いに異なり;ここで、それぞれの追加のSAXSパターンを取得するステップは、X線ビームのコリメーションを変更しながら、サンプルの第1の側面のX線の断面積を実質的に維持するステップを有する。
非一過性コンピュータ可読媒体は、少なくとも第1および第2のSAXSパターンに基づいてサンプルを評価するステップに対する命令を有する。
第1のSAXSパターンを取得するステップおよび第2のSAXSパターンを取得するステップは、X線ビームの強度によりさらに互いに異なる。
X線ビームの断面が円形であり、そして第1のコリメーション値と第2のコリメーション値との差が、第1および第2のSAXSパターンの回折次数の偏心を決定する。
第1の角度関係が第1の照明角度であり、第2の角度関係が第2の照明角度であり、ここで第2の照明角度が第1の照明角度より大きく、そして第1のコリメーション値が、第2のコリメーション値を持つX線ビームよりもコリメーションされているX線ビームを表す。
少なくとも第2のSAXSパターンに関連する信号対雑音比に基づいて、第2のコリメーション値を決定するステップに対する命令を有する。
第2のSAXSパターンのローブ間の予想される重複に少なくとも基づいて、第2のコリメーション値を決定するステップに対する命令を有する。
第1のSAXSパターンから得られた情報に基づいて第2のコリメーション値を決定するステップに対する命令を有する。
構造要素の配列の優先順位または重要性に基づいて第2のコリメーション値を決定するステップに対する命令を有する。
X線装置であって:構造要素の配列を含むサンプルを保持するためのマウントと;
サンプルと第1のコリメーション値を示すX線ビームとの間の第1の角度関係に対する第1の小角X線散乱(SAXS)パターンを取得し;そして、サンプルと、第1のコリメーション値と異なる第2のコリメーション値を示すX線ビームと、の間の第2の角度関係に対する第2の小角X線散乱(SAXS)パターンを取得するように構成されたX線光学系と;を有し、ここで第2の角度関係は第1の角度関係とは異なり、ここで、第1および第2のSAXSパターンを取得するステップは、第1および第2のSAXSパターンの取得中に、サンプルの第1の側面のX線の断面積を実質的に維持するステップを有する、ことを特徴とするX線装置が提供されうる。
X線装置は、少なくとも第1および第2のSAXSパターンに基づいてサンプルを評価するように構成されたプロセッサを有する。
少なくとも第2のSAXSパターンに関連する信号対雑音比に基づいて、第2のコリメーション値を決定するように構成されたプロセッサを有する。
第2のSAXSパターンのローブ間の予想される重複に少なくとも基づいて、第2のコリメーション値を決定するように構成されたプロセッサを有する。
第1のSAXSパターンから得られた情報に基づいて第2のコリメーション値を決定するように構成されたプロセッサを有する。
構造要素の配列の優先順位または重要性に基づいて第2のコリメーション値を決定するように構成されたプロセッサを有する。
サンプルとX線ビームとの間の少なくとも1つの追加の角度関係に対する少なくとも1つの追加のSAXSパターンを取得するように構成され、ここで、それぞれの追加の角度関係、第1および第2の角度関係は互いに異なり、ここで、それぞれの追加のSAXSパターンを取得するステップは、X線ビームのコリメーションを変更する間、サンプルの第1の側面のX線の断面積を実質的に維持するステップを有する。
第1のSAXSパターンを取得するステップおよび第2のSAXSパターンを取得するステップは、X線ビームの強度によって互いにさらに異なる。
X線ビームの断面が円形であり、そして第1のコリメーション値と第2のコリメーション値との差が、第1および第2のSAXSパターンの回折次数の偏心を決定する。
第1の角度関係が第1の照明角度であり、第2の角度関係が第2の照明角度であり、ここで第2の照明角度が第1の照明角度より大きく、そして第1のコリメーション値が、第2のコリメーション値を持つX線ビームよりもコリメーションされているX線ビームを表す。
「構成される」という用語は、「構築され、配置される」ことを意味する場合がある。
「含む」への言及は、「から構成される」および「から本質的に構成される」に準用する必要がある。
任意の方法の任意のステップの任意の組み合わせを提供することができる。したがって、2つ以上の方法からのステップは、本出願でカバ-される方法の一部である可能性がある。
非一過性コンピュータ可読媒体に保存された命令の任意の組み合わせを提供することができる。したがって、コンピュータ可読媒体は、本明細書に示される1つまたは複数の方法のステップの任意の組み合わせを実行するための命令を格納することができる。
本出願に示されている任意の構成要素(例えば、センサ、光学系、機械要素、検知器など)の任意の組み合わせを提供することができる。
方法、装置(X線装置を含む)、および非一過性コンピュータ可読媒体のいずれか1つへの言及は、必要な変更を加えて、方法、装置(X線装置を含む)および非一過性コンピュータ可読媒体の他のいずれか1つに適用する必要がある。
図面は縮尺が合っている場合と、縮尺が合っていない場合がある。
本明細書に記載の実施形態は、主に、半導体ウェハなどの単結晶、多結晶、またはアモルファスサンプルのX線分析に対処するが、本明細書に記載の方法およびシステムは、ナノ構造の配列の他の技術用途においても使用できる。
したがって、上記の実施形態は例として引用されており、本発明は、上記で特に示され、説明されたものに限定されないことが理解されよう。むしろ、本発明の範囲は、上記の様々なフィーチャの組み合わせおよびサブ組み合わせの両方、ならびに前述の説明を読んだときに当業者に想起される、先行技術に開示されていないそれらの変形および修正を含む。本特許出願に参照により組み込まれる文書は、本出願の不可欠な部分と見なされるべきである。本明細書において明示的または暗黙的になされた用語の定義とこれらの組み込まれた文書の用語の定義が矛盾する場合は、本明細書の定義を考慮すべきである。
本発明は、その実施形態の以下の詳細な説明から、以下の図面と併せて、より完全に理解されるであろう:
本発明の実施形態による、小角X線散乱(SAXS)システムの概略図である。 本発明の実施形態による、小角X線散乱(SAXS)システムの概略図である。 本発明の実施形態による、小角X線散乱(SAXS)システムの概略図である。 本発明の一実施形態による、ビーム調整組立体の概略図である。 本発明の実施形態による、スリット組立体の概略図である。 本発明の実施形態による、スリット組立体の概略図である。 本発明の実施形態による、ビーム遮断組立体の概略図である。 本発明の実施形態による、ビーム遮断組立体の概略図である。 本発明の別の一実施形態による、ビームブロッカーが存在しない場合の、検知器によって検知されたX線ビームの強度を示す画像の概略図である。 本発明の一実施形態による、ビームブロッカーの存在下で検知器によって検知されたX線ビームの強度を示す画像の概略図である 本発明の別の一実施形態による、ビームブロッカーが存在しない場合の、検知器によって検知された散乱X線ビームの強度を示す画像の概略図である。 本発明の一実施形態による、ビームブロッカーの存在下で検知器によって検知された散乱X線ビームの強度を示す画像の概略図である。 本発明の一実施形態による、改善された角度分解能のために、センサの配列を含むX線検知器がセンサ間の距離よりも小さいステップで移動される走査方式の概略図である。 検知器およびスリット組立体の一部を示す図である。 検知器およびスリット組立体の一部を示す図である。 検知器およびスリット組立体の一部を示す図である。 検知器およびスリット組立体の一部を示す図である。 検知器およびスリット組立体の一部を示す図である。 検知器およびスリット組立体の一部を示す図である。 システムと検知器を示す図である。 システムと検知器を示す図である。 システムの一部を示す図である。 方法を示す図である。 サンプル、X線ビーム、および従来技術のXRF検知器を示す図である。 XRF検知器を示す図である。 サンプル、X線ビームおよびXRF検知器を示す図である。 サンプルと検知器を示す図である。 サンプルと検知器を示す図である。 システムと検知器を示す図である。 システムと検知器を示す図である。 システムと検知器を示す図である。 システムと検知器を示す図である。 システムと検知器を示す図である。 方法を示す図である。 HARホールのアライメントされたスタックの配列およびHARホールのアライメントされていないスタックの配列を示す図である。 HARホールのアライメントされたスタックおよびHARホールのアライメントされていないスタックの例を示す図である。 HARホールのスタックの配列を照明するときに得られる1D小角X線散乱(SAXS)パターンの例を示す図である。 HARホールのアライメントされたスタックの配列のSAXSパターンの異なる範囲の回転と合計強度との間の関係の例を示す図である。 HARホールの不アライメントスタックの配列のSAXSパターンの異なる範囲の回転と合計強度との間の関係の例を示す図である。 HARホールのアライメントされていないスタックの配列およびHARホールのアライメントされたスタックの配列のSAXSパターンの第1の範囲の回転と合計強度との間の関係の例を示す図である。 方法の例を示す図である。 方法の例を示す図である。 半導体オブジェクトの例を示す図である。 半導体オブジェクトおよびX線散乱計測計装置のいくつかの部分の例を示す図である。 半導体オブジェクトおよびX線散乱計測装置のいくつかの部分の例を示す図である。 方法の例を示す図である。 半導体オブジェクトの例を示す図である。 半導体オブジェクトおよびX線散乱計測装置のいくつかの部品の例を示す図である。 半導体オブジェクトおよびX線散乱計測装置のいくつかの部品の例を示す図である。 X線ビーム断面およびSAXSパターンの通過の例を示す図である。 X線ビーム断面およびSAXSパターンの通過の例を示す図である。 X線ビーム断面およびSAXSパターンの通過の例を示す図である。 X線ビーム断面およびSAXSパターンの通過の例を示す図である。 X線ビーム断面およびSAXSパターンの通過の例を示す図である。 X線ビーム断面およびSAXSパターンの通過の例を示す図である。 2レベルのHARホールの配列を照射したときに得られる2D小角X線散乱(SAXS)パターンの例を示す図である。

Claims (30)

  1. 構造的要素の配列を含むサンプルを評価するための方法であって:
    前記サンプルとX線ビームとの間の第1の角度関係に対する第1の小角X線散乱(SAXS)パターンを取得するステップであって、前記X線ビームは、第1のコリメーション値を示し、そして前記サンプルの第1の側面の上に所定の断面積を有する、ステップと;そして
    前記サンプルと前記X線ビームとの間の第2の角度関係に対する第2のSAXSパターンを取得するステップであって、前記X線ビームは、前記サンプルの前記第1の側面の上に前記X線ビームの前記所定の断面積を維持しながら、前記第1のコリメーション値とは異なる第2のコリメーション値を示し、ここで前記第2の角度関係は前記第1の角度関係とは異なる、ステップと;
    を有する、ことを特徴とする方法。
  2. 前記サンプルの前記第1の側面の上に前記X線ビームの前記所定の断面積を維持しながら、そして前記X線ビームの前記コリメーション値を変えながら、前記サンプルとX線ビームとの間の少なくとも1つの追加の角度関係に対する少なくとも1つの追加のSAXSパターンを取得するステップであって、それぞれの前記追加の角度関係は、前記第1または第2の角度関係とは異なり、かつ互いに異なる、ステップを有する、ことを特徴とする請求項1に記載の方法。
  3. 少なくとも前記第1と第2のSAXSパターンに基づいて前記サンプルを評価するステップを有する、ことを特徴とする請求項1に記載の方法。
  4. 前記第1のSAXSパターンを取得するステップと、前記第2のSAXSパターンを取得するステップとは、前記X線ビームの強度において更に互いに異なる、ことを特徴とする請求項1に記載の方法。
  5. 前記X線ビームは円形の断面を有し、前記第1のコリメーション値と前記第2のコリメーション値との差は前記第1と第2のSAXSパターンの回折次数の偏心を決定する、ことを特徴とする請求項1に記載の方法。
  6. 前記第1の角度関係が第1の照明角度であり、前記第2の角度関係が第2の照明角度であり、ここで前記第2の照明角度が前記第1の照明角度より大きく、そして前記第1のコリメーション値が、前記第2のコリメーション値を持つ前記X線ビームよりもコリメートされているX線ビームを表す、ことを特徴とする請求項1に記載の方法。
  7. 少なくとも前記第2のSAXSパターンに関連する信号対雑音比に基づいて、前記第2のコリメーション値を決定するステップを有する、ことを特徴とする請求項1に記載の方法。
  8. 少なくとも前記第2のSAXSパターンのローブ間の予想される重複に基づいて、前記第2のコリメーション値を決定するステップを有する、ことを特徴とする請求項1に記載の方法。
  9. 前記第1のSAXSパターンから得られた情報に基づいて前記第2のコリメーション値を決定するステップを有する、ことを特徴とする請求項1に記載の方法。
  10. 前記構造要素の配列の優先順位または重要性に基づいて前記第2のコリメーション値を決定するステップを有する、ことを特徴とする請求項1に記載の方法。
  11. コンピュータにより実行されるための命令を保管する非一過性コンピュータ可読媒体であって、前記命令は前記コンピュータに対し:
    前記サンプルとX線ビームとの間の第1の角度関係に対する第1の小角X線散乱(SAXS)パターンを取得するステップであって、前記X線ビームは、第1のコリメーション値を示し、そして前記サンプルの第1の側面の上に所定の断面積を有する、ステップと;そして
    前記サンプルと前記X線ビームとの間の第2の角度関係に対する第2のSAXSパターンを取得するステップであって、前記X線ビームは、前記サンプルの前記第1の側面の上に前記X線ビームの前記所定の断面積を維持しながら、前記第1のコリメーション値とは異なる第2のコリメーション値を示し、ここで前記第2の角度関係は前記第1の角度関係とは異なる、ステップと;
    を実行させる、ことを特徴とする非一過性コンピュータ可読媒体。
  12. 前記サンプルの前記第1の側面の上に前記X線ビームの前記所定の断面積を維持しながら、そして前記X線ビームの前記コリメーション値を変えながら、前記サンプルとX線ビームとの間の少なくとも1つの追加の角度関係に対する少なくとも1つの追加のSAXSパターンを取得するステップであって、それぞれの前記追加の角度関係は、前記第1または第2の角度関係とは異なり、かつ互いに異なる、ステップを実行させる命令をさらに有する、ことを特徴とする請求項11に記載の非一過性コンピュータ可読媒体。
  13. 少なくとも前記第1と第2のSAXSパターンに基づいて前記サンプルを評価するステップを実行させる命令をさらに有する、ことを特徴とする請求項11に記載の非一過性コンピュータ可読媒体。
  14. 前記第1のSAXSパターンを取得するステップと、前記第2のSAXSパターンを取得するステップとは、前記X線ビームの強度において更に互いに異なる、ことを特徴とする請求項11に記載の非一過性コンピュータ可読媒体。
  15. 前記X線ビームは円形の断面を有し、前記第1のコリメーション値と前記第2のコリメーション値との差は前記第1と第2のSAXSパターンの回折次数の偏心を決定する、ことを特徴とする請求項11に記載の非一過性コンピュータ可読媒体。
  16. 前記第1の角度関係が第1の照明角度であり、前記第2の角度関係が第2の照明角度であり、ここで前記第2の照明角度が前記第1の照明角度より大きく、そして前記第1のコリメーション値が、前記第2のコリメーション値を持つ前記X線ビームよりもコリメートされているX線ビームを表す、ことを特徴とする請求項11に記載の非一過性コンピュータ可読媒体。
  17. 少なくとも前記第2のSAXSパターンに関連する信号対雑音比に基づいて、前記第2のコリメーション値を決定するステップを実行させる命令をさらに有する、ことを特徴とする請求項11に記載の非一過性コンピュータ可読媒体。
  18. 少なくとも前記第2のSAXSパターンのローブ間の予想される重複に基づいて、前記第2のコリメーション値を決定するステップを実行させる命令をさらに有する、ことを特徴とする請求項11に記載の非一過性コンピュータ可読媒体。
  19. 前記第1のSAXSパターンから得られた情報に基づいて前記第2のコリメーション値を決定するステップを実行させる命令をさらに有する、ことを特徴とする請求項11に記載の非一過性コンピュータ可読媒体。
  20. 前記構造要素の配列の優先順位または重要性に基づいて前記第2のコリメーション値を決定するステップを実行させる命令をさらに有する、ことを特徴とする請求項11に記載の非一過性コンピュータ可読媒体。
  21. X線装置であって:
    構造的要素の配列を含むサンプルを保持するためのマウントと;
    X線光学系と;
    を有し、前記X線光学系は:
    前記サンプルと、X線ビームとの間の第1の角度関係に対する第1の小角X線散乱(SAXS)パターンを取得するステップであって、前記X線ビームは、第1のコリメーション値を示し、そして前記サンプルの第1の側面の上に所定の断面積を有する、ステップと;そして
    前記サンプルと、前記X線ビームとの間の第2の角度関係に対する第2のSAXSパターンを取得するステップであって、前記X線ビームは、前記サンプルの前記第1の側面の上に前記X線ビームの前記所定の断面積を維持しながら、前記第1のコリメーション値とは異なる第2のコリメーション値を示し、ここで前記第2の角度関係は前記第1の角度関係とは異なる、ステップと;
    を実行するように構成される、ことを特徴とするX線装置。
  22. 少なくとも前記第1と第2のSAXSパターンに基づいて前記サンプルを評価するように構成される、プロセッサを有する、ことを特徴とする請求項21に記載の装置。
  23. 前記プロセッサは、少なくとも前記第2のSAXSパターンに関連する信号対雑音比に基づいて、前記第2のコリメーション値を決定するように構成される、ことを特徴とする請求項22に記載の装置。
  24. 前記プロセッサは、少なくとも前記第2のSAXSパターンのローブ間の予想される重複に基づいて、前記第2のコリメーション値を決定するように構成される、ことを特徴とする請求項22に記載の装置。
  25. 前記プロセッサは、前記第1のSAXSパターンから得られた情報に基づいて前記第2のコリメーション値を決定するように構成される、ことを特徴とする請求項22に記載の装置。
  26. 前記プロセッサは、前記構造要素の配列の優先順位または重要性に基づいて前記第2のコリメーション値を決定するように構成される、ことを特徴とする請求項22に記載の装置。
  27. 前記X線光学系は、前記サンプルの前記第1の側面の上に前記X線ビームの前記所定の断面積を維持しながら、そして前記X線ビームの前記コリメーション値を変えながら、前記サンプルとX線ビームとの間の少なくとも1つの追加の角度関係に対する少なくとも1つの追加のSAXSパターンを取得するステップであって、それぞれの前記追加の角度関係は、前記第1または第2の角度関係とは異なり、かつ互いに異なる、ステップを実行するように構成される、ことを特徴とする請求項21に記載の装置。
  28. 前記第1のSAXSパターンを取得するステップと、前記第2のSAXSパターンを取得するステップとは、前記X線ビームの強度において更に互いに異なる、ことを特徴とする請求項21に記載の装置。
  29. 前記X線ビームは円形の断面を有し、前記第1のコリメーション値と前記第2のコリメーション値との差は前記第1と第2のSAXSパターンの回折次数の偏心を決定する、ことを特徴とする請求項21に記載の装置。
  30. 前記第1の角度関係が第1の照明角度であり、前記第2の角度関係が第2の照明角度であり、ここで前記第2の照明角度が前記第1の照明角度より大きく、そして前記第1のコリメーション値が、前記第2のコリメーション値を持つ前記X線ビームよりもコリメートされているX線ビームを表す、ことを特徴とする請求項21に記載の装置。
JP2023108960A 2018-07-05 2023-06-30 小角x線散乱計測計 Pending JP2023139005A (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2023108960A JP2023139005A (ja) 2018-07-05 2023-06-30 小角x線散乱計測計

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US201862964097P 2018-07-05 2018-07-05
US201862711478P 2018-07-28 2018-07-28
US201862711477P 2018-07-28 2018-07-28
US201862711476P 2018-07-28 2018-07-28
JP2020573226A JP7308233B2 (ja) 2018-07-05 2019-07-04 小角x線散乱計測計
PCT/IB2019/055735 WO2020008420A2 (en) 2018-07-05 2019-07-04 Small-angle x-ray scatterometry
JP2023108960A JP2023139005A (ja) 2018-07-05 2023-06-30 小角x線散乱計測計

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2020573226A Division JP7308233B2 (ja) 2018-07-05 2019-07-04 小角x線散乱計測計

Publications (1)

Publication Number Publication Date
JP2023139005A true JP2023139005A (ja) 2023-10-03

Family

ID=69060230

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2020573226A Active JP7308233B2 (ja) 2018-07-05 2019-07-04 小角x線散乱計測計
JP2023108959A Pending JP2023139004A (ja) 2018-07-05 2023-06-30 小角x線散乱計測計
JP2023108960A Pending JP2023139005A (ja) 2018-07-05 2023-06-30 小角x線散乱計測計

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP2020573226A Active JP7308233B2 (ja) 2018-07-05 2019-07-04 小角x線散乱計測計
JP2023108959A Pending JP2023139004A (ja) 2018-07-05 2023-06-30 小角x線散乱計測計

Country Status (5)

Country Link
US (1) US11181490B2 (ja)
JP (3) JP7308233B2 (ja)
KR (1) KR20210065084A (ja)
CN (1) CN112654861B (ja)
WO (1) WO2020008420A2 (ja)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6930737B2 (ja) * 2018-04-02 2021-09-01 株式会社リガク 非晶質相の定量分析装置、非晶質相の定量分析方法、及び非晶質相の定量分析プログラム
CA3113806A1 (en) * 2018-10-04 2020-04-09 Decision Tree, Llc Systems and methods for interpreting high energy interactions
FI20215587A1 (en) * 2018-10-19 2021-05-18 Commw Scient Ind Res Org Energy dispersive X-ray diffraction analyzer with improved reflection geometry
PL3719484T3 (pl) * 2019-04-04 2024-05-13 Malvern Panalytical B.V. Urządzenie i sposób kształtowania wiązki promieniowania rentgenowskiego
EP3987279B1 (de) * 2019-06-24 2023-11-08 SMS Group GmbH Vorrichtung und verfahren zum bestimmen der werkstoffeigenschaften eines polykristallinen produkts
US11761913B2 (en) 2020-05-04 2023-09-19 Bruker Technologies Ltd. Transmission X-ray critical dimension (T-XCD) characterization of shift and tilt of stacks of high-aspect-ratio (HAR) structures
JP2022020938A (ja) * 2020-07-21 2022-02-02 株式会社日本製鋼所 レーザアニール装置、レーザアニール方法、及び半導体装置の製造方法
US11781999B2 (en) * 2021-09-05 2023-10-10 Bruker Technologies Ltd. Spot-size control in reflection-based and scatterometry-based X-ray metrology systems
IT202100026417A1 (it) * 2021-10-14 2023-04-14 Milano Politecnico Dispositivo e sistema di rivelazione di radiazioni emesse da un campione irraggiato con un fascio di eccitazione

Family Cites Families (86)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL284051A (ja) 1961-10-11 1900-01-01
US4794648A (en) 1982-10-25 1988-12-27 Canon Kabushiki Kaisha Mask aligner with a wafer position detecting device
NL8204584A (nl) 1982-11-25 1984-06-18 Philips Nv Roentgen analyse apparaat met een vier-kristal monochromator.
US4821301A (en) 1986-02-28 1989-04-11 Duke University X-ray reflection method and apparatus for chemical analysis of thin surface layers
JPH02501338A (ja) 1986-08-15 1990-05-10 コモンウェルス サイエンティフィック アンド インダストリアル リサーチ オーガナイゼイション X線ビームもしくは中性子ビーム調節用計装装置
JPS63115539A (ja) * 1986-11-04 1988-05-20 松下電器産業株式会社 X線診断装置
US4989226A (en) 1987-08-21 1991-01-29 Brigham Young University Layered devices having surface curvature
JP2727691B2 (ja) * 1989-10-16 1998-03-11 株式会社島津製作所 X線吸収端微細構造分析装置
US5199058A (en) 1990-12-17 1993-03-30 Ricoh Company, Ltd. X-ray monochromator and spectral measurement apparatus using the x-ray monochromator
US5245648A (en) 1991-04-05 1993-09-14 The United States Of America As Represented By The United States Department Of Energy X-ray tomographic image magnification process, system and apparatus therefor
WO1994008232A1 (en) 1992-09-28 1994-04-14 Hitachi, Ltd. Method and apparatus for surface analysis
BE1007349A3 (nl) 1993-07-19 1995-05-23 Philips Electronics Nv Asymmetrische 4-kristalmonochromator.
US5802137A (en) 1993-08-16 1998-09-01 Commonwealth Scientific And Industrial Research X-ray optics, especially for phase contrast imaging
US6271534B1 (en) 1994-07-08 2001-08-07 Muradin Abubekirovich Kumakhov Device for producing the image of an object using a flux of neutral or charged particles, and an integrated lens for converting such flux of neutral or charged particles
JP3468623B2 (ja) 1995-08-08 2003-11-17 理学電機株式会社 X線回折装置の光学系切換装置
US5619548A (en) 1995-08-11 1997-04-08 Oryx Instruments And Materials Corp. X-ray thickness gauge
JP3529065B2 (ja) 1995-08-14 2004-05-24 理学電機株式会社 X線小角散乱装置
US5740226A (en) 1995-11-30 1998-04-14 Fujitsu Limited Film thickness measuring and film forming method
JPH09329557A (ja) * 1996-06-11 1997-12-22 Seiko Instr Inc マイクロ蛍光x線分析装置
JP2956830B2 (ja) 1996-11-21 1999-10-04 日本電気株式会社 半導体装置の製造方法
US6242745B1 (en) 1996-11-24 2001-06-05 Ge Medical Systems Israel Ltd. Solid state gamma camera
US6041098A (en) 1997-02-03 2000-03-21 Touryanski; Alexander G. X-ray reflectometer
DE19833524B4 (de) 1998-07-25 2004-09-23 Bruker Axs Gmbh Röntgen-Analysegerät mit Gradienten-Vielfachschicht-Spiegel
US6094256A (en) 1998-09-29 2000-07-25 Nikon Precision Inc. Method for forming a critical dimension test structure and its use
US6163592A (en) 1999-01-28 2000-12-19 Bruker Axs, Inc. Beam scattering measurement system with transmitted beam energy detection
JP3944330B2 (ja) 1999-04-12 2007-07-11 株式会社リガク X線回折装置及びx線ロッキングカーブの測定方法
US6754305B1 (en) 1999-08-02 2004-06-22 Therma-Wave, Inc. Measurement of thin films and barrier layers on patterned wafers with X-ray reflectometry
US6389102B2 (en) 1999-09-29 2002-05-14 Jordan Valley Applied Radiation Ltd. X-ray array detector
US6381303B1 (en) 1999-09-29 2002-04-30 Jordan Valley Applied Radiation Ltd. X-ray microanalyzer for thin films
DE19948382A1 (de) 1999-10-07 2001-05-03 Gemetec Ges Fuer Mestechnik Un Detektor für grosse Waferflächen
US6330301B1 (en) * 1999-12-17 2001-12-11 Osmic, Inc. Optical scheme for high flux low-background two-dimensional small angle x-ray scattering
RU2180439C2 (ru) 2000-02-11 2002-03-10 Кумахов Мурадин Абубекирович Способ получения изображения внутренней структуры объекта с использованием рентгеновского излучения и устройство для его осуществления
US6970532B2 (en) 2000-05-10 2005-11-29 Rigaku Corporation Method and apparatus for measuring thin film, and thin film deposition system
JP4313844B2 (ja) 2000-05-31 2009-08-12 株式会社リガク チャンネルカットモノクロメータ
US6556652B1 (en) 2000-08-09 2003-04-29 Jordan Valley Applied Radiation Ltd. Measurement of critical dimensions using X-rays
US6512814B2 (en) 2001-04-12 2003-01-28 Jordan Valley Applied Radiation X-ray reflectometer
US6895075B2 (en) 2003-02-12 2005-05-17 Jordan Valley Applied Radiation Ltd. X-ray reflectometry with small-angle scattering measurement
JP4498663B2 (ja) 2001-07-11 2010-07-07 学校法人東京理科大学 透過型結晶分析体の厚さ設定方法
DE10141958B4 (de) 2001-08-28 2006-06-08 Bruker Axs Gmbh Röntgen-Diffraktometer
US6782076B2 (en) 2001-12-07 2004-08-24 Bede Scientific Instruments Limited X-ray topographic system
JP3813512B2 (ja) 2002-01-07 2006-08-23 株式会社東芝 貼り合わせ基板の評価方法及び評価装置、半導体装置の製造方法
US6879051B1 (en) 2002-01-16 2005-04-12 Advanced Micro Devices, Inc. Systems and methods to determine seed layer thickness of trench sidewalls
US6810105B2 (en) 2002-01-25 2004-10-26 Kla-Tencor Technologies Corporation Methods and apparatus for dishing and erosion characterization
US6680996B2 (en) 2002-02-19 2004-01-20 Jordan Valley Applied Radiation Ltd. Dual-wavelength X-ray reflectometry
EP1490671B1 (en) 2002-03-21 2012-05-09 Bruker AXS, Inc. Transmission mode X-ray diffraction screening system
JP3697246B2 (ja) 2003-03-26 2005-09-21 株式会社リガク X線回折装置
JP3919775B2 (ja) 2004-07-15 2007-05-30 株式会社リガク X線反射率測定方法及び装置
US7242745B2 (en) 2004-07-29 2007-07-10 Bob Baoping He X-ray diffraction screening system convertible between reflection and transmission modes
US7120228B2 (en) 2004-09-21 2006-10-10 Jordan Valley Applied Radiation Ltd. Combined X-ray reflectometer and diffractometer
US7076024B2 (en) 2004-12-01 2006-07-11 Jordan Valley Applied Radiation, Ltd. X-ray apparatus with dual monochromators
US7600916B2 (en) 2004-12-01 2009-10-13 Jordan Valley Semiconductors Ltd. Target alignment for X-ray scattering measurements
US7110491B2 (en) 2004-12-22 2006-09-19 Jordan Valley Applied Radiation Ltd. Measurement of critical dimensions using X-ray diffraction in reflection mode
US7511293B2 (en) * 2005-02-25 2009-03-31 Nanometrics Incorporated Scatterometer having a computer system that reads data from selected pixels of the sensor array
EP1701154B1 (en) 2005-03-10 2008-01-23 Panalytical B.V. Removal of instrumental aberration from a diffraction pattern by deconvolution using an instrumental function, which depends on the scattering angle
JP2007010483A (ja) 2005-06-30 2007-01-18 Rigaku Corp X線ビーム処理装置及びx線分析装置
US7113566B1 (en) 2005-07-15 2006-09-26 Jordan Valley Applied Radiation Ltd. Enhancing resolution of X-ray measurements by sample motion
US7481579B2 (en) 2006-03-27 2009-01-27 Jordan Valley Applied Radiation Ltd. Overlay metrology using X-rays
JP4773899B2 (ja) 2006-06-29 2011-09-14 株式会社リガク X線分光測定方法およびx線分光装置
JP4278108B2 (ja) 2006-07-07 2009-06-10 株式会社リガク 超小角x線散乱測定装置
JP4658003B2 (ja) 2006-08-29 2011-03-23 株式会社リガク X線分析装置
JP4860418B2 (ja) 2006-10-10 2012-01-25 株式会社リガク X線光学系
US7656518B2 (en) 2007-03-30 2010-02-02 Asml Netherlands B.V. Method of measuring asymmetry in a scatterometer, a method of measuring an overlay error in a substrate and a metrology apparatus
CN100492053C (zh) 2007-04-06 2009-05-27 于红林 X射线线阵探测器
US7801272B2 (en) 2007-09-28 2010-09-21 Rigaku Corporation X-ray diffraction apparatus and X-ray diffraction method
ATE545858T1 (de) 2007-12-31 2012-03-15 Xenocs S A Röntgenstrahlvorrichtung
WO2010052840A1 (ja) 2008-11-05 2010-05-14 株式会社日立ハイテクノロジーズ 校正用標準部材およびその作製方法並びにそれを用いた走査電子顕微鏡
JP4971383B2 (ja) 2009-03-25 2012-07-11 株式会社リガク X線回折方法及びx線回折装置
US8249220B2 (en) 2009-10-14 2012-08-21 Rigaku Innovative Technologies, Inc. Multiconfiguration X-ray optical system
US8243878B2 (en) * 2010-01-07 2012-08-14 Jordan Valley Semiconductors Ltd. High-resolution X-ray diffraction measurement with enhanced sensitivity
US20170259085A1 (en) 2010-04-16 2017-09-14 James P. Bennett Integrated imaging-cancer treatment apparatus and method of use thereof
US8548123B2 (en) 2010-04-29 2013-10-01 Bruker Axs, Inc. Method and apparatus for using an area X-ray detector as a point detector in an X-ray diffractometer
US8687766B2 (en) 2010-07-13 2014-04-01 Jordan Valley Semiconductors Ltd. Enhancing accuracy of fast high-resolution X-ray diffractometry
US8437450B2 (en) 2010-12-02 2013-05-07 Jordan Valley Semiconductors Ltd. Fast measurement of X-ray diffraction from tilted layers
JP5838114B2 (ja) 2012-04-02 2015-12-24 株式会社リガク X線トポグラフィ装置
US9269468B2 (en) 2012-04-30 2016-02-23 Jordan Valley Semiconductors Ltd. X-ray beam conditioning
US10013518B2 (en) 2012-07-10 2018-07-03 Kla-Tencor Corporation Model building and analysis engine for combined X-ray and optical metrology
AT513660B1 (de) 2012-11-30 2014-09-15 Anton Paar Gmbh Verfahren und Vorrichtung zur Untersuchung von Proben
US9778213B2 (en) 2013-08-19 2017-10-03 Kla-Tencor Corporation Metrology tool with combined XRF and SAXS capabilities
US9494535B2 (en) * 2014-04-21 2016-11-15 Kla-Tencor Corporation Scatterometry-based imaging and critical dimension metrology
KR101918251B1 (ko) 2014-06-02 2018-11-13 에이에스엠엘 네델란즈 비.브이. 메트롤로지 타겟들을 디자인하는 방법, 메트롤로지 타겟들을 갖는 기판들, 오버레이를 측정하는 방법, 및 디바이스 제조 방법
US9606073B2 (en) 2014-06-22 2017-03-28 Bruker Jv Israel Ltd. X-ray scatterometry apparatus
JP6999268B2 (ja) 2016-01-11 2022-01-18 ブルカー テクノロジーズ リミテッド X線スキャタロメトリーのための方法および装置
JP6821700B2 (ja) 2016-04-22 2021-01-27 ケーエルエー コーポレイション 小スポットサイズ透過型小角x線スキャタロメトリ用ビーム整形スリット
US10775323B2 (en) 2016-10-18 2020-09-15 Kla-Tencor Corporation Full beam metrology for X-ray scatterometry systems
US10816487B2 (en) 2018-04-12 2020-10-27 Bruker Technologies Ltd. Image contrast in X-ray topography imaging for defect inspection
JP2019191168A (ja) 2018-04-23 2019-10-31 ブルカー ジェイヴィ イスラエル リミテッドBruker Jv Israel Ltd. 小角x線散乱測定用のx線源光学系

Also Published As

Publication number Publication date
KR20210065084A (ko) 2021-06-03
CN112654861B (zh) 2024-06-11
US20210285898A1 (en) 2021-09-16
JP2023139004A (ja) 2023-10-03
JP7308233B2 (ja) 2023-07-13
CN112654861A (zh) 2021-04-13
US11181490B2 (en) 2021-11-23
WO2020008420A3 (en) 2020-07-30
WO2020008420A2 (en) 2020-01-09
JP2022533281A (ja) 2022-07-22

Similar Documents

Publication Publication Date Title
TWI803621B (zh) X射線設備及用於樣本對準之方法
JP7308233B2 (ja) 小角x線散乱計測計
US7551719B2 (en) Multifunction X-ray analysis system
JP7084979B2 (ja) X線スキャタロメトリーのための方法および装置
KR102104067B1 (ko) X선 산란계측 장치
US20240077435A1 (en) Small-angle x-ray scatterometry
KR101231731B1 (ko) 다기능 x-선 분석 시스템
JP5073943B2 (ja) シリコンウェーハ表面歪分布測定装置
EP4095522A1 (en) X-ray scattering apparatus and x-ray scattering method

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20230630

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230721

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20240514

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20240516