JP2022505396A - 高流速でガスパージされる側部収納ポッド装置、アセンブリ、及び方法 - Google Patents

高流速でガスパージされる側部収納ポッド装置、アセンブリ、及び方法 Download PDF

Info

Publication number
JP2022505396A
JP2022505396A JP2021521400A JP2021521400A JP2022505396A JP 2022505396 A JP2022505396 A JP 2022505396A JP 2021521400 A JP2021521400 A JP 2021521400A JP 2021521400 A JP2021521400 A JP 2021521400A JP 2022505396 A JP2022505396 A JP 2022505396A
Authority
JP
Japan
Prior art keywords
side storage
chamber
storage pod
substrate
efem
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2021521400A
Other languages
English (en)
Other versions
JP7137697B2 (ja
JPWO2020086706A5 (ja
Inventor
ポール ビー. ロイター,
ムラリ ナラシムハン,
アムリア エル. アタイデ,
パトリック パネーゼ,
ディーン シー. フルゼク,
ニール メリー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2022505396A publication Critical patent/JP2022505396A/ja
Publication of JPWO2020086706A5 publication Critical patent/JPWO2020086706A5/ja
Application granted granted Critical
Publication of JP7137697B2 publication Critical patent/JP7137697B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D53/00Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols
    • B01D53/02Separation of gases or vapours; Recovering vapours of volatile solvents from gases; Chemical or biological purification of waste gases, e.g. engine exhaust gases, smoke, fumes, flue gases, aerosols by adsorption, e.g. preparative gas chromatography
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6732Vertical carrier comprising wall type elements whereby the substrates are horizontally supported, e.g. comprising sidewalls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67379Closed carriers characterised by coupling elements, kinematic members, handles or elements to be externally gripped
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67383Closed carriers characterised by substrate supports
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67386Closed carriers characterised by the construction of the closed carrier
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67389Closed carriers characterised by atmosphere control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6735Closed carriers
    • H01L21/67389Closed carriers characterised by atmosphere control
    • H01L21/67393Closed carriers characterised by atmosphere control characterised by the presence of atmosphere modifying elements inside or attached to the closed carrierl
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67775Docking arrangements
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2253/00Adsorbents used in seperation treatment of gases and vapours
    • B01D2253/10Inorganic adsorbents
    • B01D2253/102Carbon
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2257/00Components to be removed
    • B01D2257/20Halogens or halogen compounds
    • B01D2257/202Single element halogens
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2257/00Components to be removed
    • B01D2257/20Halogens or halogen compounds
    • B01D2257/204Inorganic halogen compounds
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2257/00Components to be removed
    • B01D2257/40Nitrogen compounds
    • B01D2257/404Nitrogen oxides other than dinitrogen oxide
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01DSEPARATION
    • B01D2257/00Components to be removed
    • B01D2257/40Nitrogen compounds
    • B01D2257/406Ammonia
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Robotics (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Packaging Frangible Articles (AREA)
  • Ventilation (AREA)

Abstract

Figure 2022505396000001
いくつかの実施形態では、機器フロントエンドモジュール(EFEM)の側部収納ポッド装置は、機器フロントエンドモジュールの本体の側壁に連結するように構成された表面を有する側部収納容器と、機器フロントエンドモジュールから基板を受け入れるように構成された開口部とを含む。EFEMは、基板を支持するように構成された複数の支持部材を有する、側部収納容器内の側部収納チャンバを更に含む。EFEMは、側部収納チャンバに近接して設けられ、側部収納チャンバとは別個のチャンバであるプレナムチャンバと、プレナムチャンバに連結された排気口とを更に含む。
【選択図】図2A

Description

[0001]本出願は、電子デバイス製造に関し、より具体的には、機器フロントエンドモジュール(EFEM)の側部収納ポッド装置、側部収納ポッド装置を含むEFEMアセンブリ、及び方法に関する。
[0002]半導体構成要素製造における基板の処理は、複数のプロセスツールにおいて実行され、基板は、基板キャリア(例えば、前方開口型統一ポッド又はFOUP)内のプロセスツール間を移動する。FOUPは、それぞれのFOUPと、前壁の反対側のEFEMの後壁に連結された1つ又は複数の目的地(例えば、ロードロック(複数可)又は処理チャンバ(複数可))との間で基板を移送するように動作可能なロード(搬入)/アンロード(搬出)ロボットを含むEFEMの前壁にドッキングされうる。EFEMを通過する際の汚染/腐食から基板を保護するために、適量の非反応性ガス(例えば、N)を内部に注入することなどによって、EFEM内の環境が制御されうる。場合によっては、EFEMは、例えば、恐らくは冷却のために、処理チャンバ(複数可)内の処理から戻ってくる基板を収納するために使用される、EFEMの側壁に連結される側部収納ポッド装置を含む。しかしながら、場合によっては、既存のEFEM及びその側部収納ポッド装置は、ある種の制限を受ける。
[0003]いくつかの実施形態では、機器フロントエンドモジュールの側部収納ポッド装置が提供される。側部収納ポッド装置は、機器フロントエンドモジュールの機器フロントエンドモジュール本体の側壁に連結するように構成された表面を有する側部収納容器と、機器フロントエンドモジュールから基板を受け入れるように構成された開口部とを含みうる。側部収納容器内の側部収納チャンバは、基板を支持するように構成された複数の支持部材と、側部収納チャンバに近接して設けられたプレナムチャンバであって、側部収納チャンバとは別個のチャンバであるプレナムチャンバと、プレナムチャンバに連結された排気口とを含みうる。排気は、側部収納ポッド装置から除去されてもよく、EFEMのEFEMチャンバに再循環されなくてもよい。
[0004]いくつかの実施形態では、フロントエンド機器モジュールが提供される。フロントエンド機器モジュールは、機器フロントエンドモジュール本体と、機器フロントエンドモジュール本体の側壁に連結された側部収納ポッド装置とを含みうる。側部収納ポッド装置は、機器フロントエンド本体の側壁に連結するように構成された表面を有する側部収納容器と、基板を支持するように各々が構成された、垂直方向に間隔が取られた複数の収納部材を有する容器内の側部収納ポッドチャンバと、側部収納ポッドチャンバとは別個のチャンバを備える、容器内のプレナムチャンバと、プレナムチャンバを側部収納ポッドチャンバから分離するように構成されたバッフルプレートと、プレナムチャンバに連結された排気口とを含む。
[0005]いくつかの実施形態では、電子デバイス処理アセンブリが提供される。電子デバイス処理アセンブリは、機器フロントエンドモジュールを含む。機器フロントエンドモジュールは、機器フロントエンドモジュールチャンバを形成する機器フロントエンドモジュール本体と、機器フロントエンドモジュール本体の前壁に連結された1つ又は複数のロードポートであって、基板キャリアをそこにドッキングするように各々が構成された1つ又は複数のロードポートと、機器フロントエンドモジュール本体の側壁に連結された側部収納ポッド装置とを含みうる。側部収納ポッド装置は、側部収納ポッドチャンバの壁を画定し、機器フロントエンドモジュール本体に連結するように構成されたシール面を有する側部収納容器を含みうる。チャンバ壁は、基板を支持するように構成された、垂直方向に間隔が取られた複数の支持部材と、側部収納ポッドチャンバとは別個のチャンバを有する、側部収納容器内のプレナムチャンバと、プレナムチャンバを側部収納ポッドチャンバから分離するように構成されたバッフルプレートと、排気口と、排気口に連結された排気導管と、排気口又は排気導管に連結された補助ファンとを含みうる。
[0006]いくつかの実施形態では、側部収納ポッド装置を有するEFEM内などで基板を処理する方法が提供される。この方法は、機器フロントエンドモジュール本体及び機器フロントエンドモジュールチャンバを含む機器フロントエンドモジュールを提供することを含みうる。機器フロントエンドモジュールは、機器フロントエンドモジュール本体の前壁に連結された1つ又は複数のロードポートであって、基板キャリアをドッキングするように各々が構成された1つ又は複数のロードポートと、機器フロントエンドモジュール本体の側壁に連結された側部収納ポッド装置とを含みうる。この方法は、側部収納ポッド内に、バッフルプレートによって分離された側部収納ポッドチャンバ及びプレナムチャンバと、プレナムチャンバに連結された排気口を設けることを更に含みうる。この方法は、機器フロントエンドモジュールチャンバ内に収納された基板を横切り、バッフルプレートを通って、プレナムチャンバ内にパージガスを流すことを更に含みうる。この方法は、プレナムチャンバから排気口を通ってパージガスを排気することを更に含みうる。
[0007]本開示のこれらの実施形態及び他の実施形態に従って、他の多くの態様及び特徴が提供される。本開示の実施形態の他の特徴及び態様は、以下の詳細な説明、特許請求の範囲、及び添付の図面から、より完全に明らかとなろう。
[0008]後述する図面は、例示のみを目的としており、必ずしも縮尺通りではない。図面は、本開示の範囲を限定することを決して意図していない。
[0009]本開示によるEFEM本体の側壁に連結された側部収納ポッド装置を含むEFEMを含む電子デバイス処理アセンブリの概略上面図を示す。 [0010]本開示の1つ又は複数の実施形態による側部収納ポッド装置の底部分の断面側面図を示す。 [0011]本開示の1つ又は複数の実施形態による側部収納ポッド装置のバッフルプレートを備える分離部材の正面図を示す。 [0012]本開示の1つ又は複数の実施形態による側部収納ポッド装置の排気の部分背面断面図を示す。 [0013]本開示の1つ又は複数の実施形態による、側部収納ポッド装置を含み、パージガス流及び再循環ガス流のパターンを示す、EFEMの側面概略図を示す。 [0014]本開示の1つ又は複数の実施形態による側部収納ポッド装置を含むEFEMの部分斜視図を示す。 [0015]1つ又は複数の実施形態による、側部収納ポッドアセンブリを含むEFEMをパージする方法を示すフローチャートを示す。
[0016]ここで、添付の図面に示された、提供される例示的な実施形態を詳細に参照する。可能な限り、同一又は類似の参照番号は、同一又は類似の部分を参照するために図面全体にわたって使用される。本明細書に記載の様々な実施形態の特徴は、別途特に明記されない限り、互いに組み合わせられうる。
[0017]既存の電子デバイス製造アセンブリで処理される基板は、高い湿度又は他の環境要因(例えば、高過ぎる酸素(O)レベル)、若しくは比較的高いレベルの他の化学汚染物質にさらされるときに問題が生じることがある。具体的には、比較的高い湿度レベル、比較的高いOレベル、又は他の化学汚染物質に基板がさらされることで、場合によっては、基板特性に悪影響を及ぼすことがある。このように、EFEM内の環境は、低い相対湿度レベルのような所定の低い露出レベルに制御されうる。特に、そのような低い相対湿度環境は、他の懸念をもたらすことがある。例えば、比較的低い湿度は、処理チャンバ(複数可)内での処理後に、基板の表面からの特定の化学汚染物質の除去を困難にする可能性がある。例えば、ハロゲン含有成分(例えば、塩素、臭素、フッ素など)のような化学汚染物質は、基板の表面に接着され、このような低い相対湿度環境では、分離することが非常に困難となりうる。
[0018]これらの問題を軽減するために、側部収納ポッド装置を通るパージガス流が供給される。例えば、パージガス流は、100立方フィート/分(cfm)以上、120cfm以上、140cfm以上、160cfm以上、又は更に高い(180cfm以上などの)流量で供給されうる。例えば、側部収納ポッド装置を通るパージガス流は、100cfm以上かつ200cfm以下でありうる。他の実施形態では、側部収納ポッド装置を通るパージガス流は、150cfm以上かつ200cfm以下でありうる。
[0019]したがって、本開示の1つ又は複数の実施形態によれば、(例えば、環境制御アセンブリの一部として)環境制御を有するEFEMを含む電子デバイス処理アセンブリが、ガスパージ能力を有する側部収納ポッド装置を更に含むように提供される。したがって、ある種の望ましくない化学汚染物質は、処理チャンバ(複数可)内での処理後に基板から効果的に除去されうる。これにより、側部収納ポッドチャンバ内に存在する、又は側部収納ポッドチャンバと共に収納された基板の表面上に位置する揮発性副生成物を効果的に低減及び/又は除去することが可能になる。更に、側部収納ポッド装置のパージ能力は、基板移送処理中の側部収納ポッドチャンバとEFEMチャンバとの間の相互汚染を低減しうる。
[0020]本明細書に記載される装置、アセンブリ、及び方法は、EFEMを通過するときに基板が曝される環境条件を制御することによって、基板の処理における効率及び/又は処理の改善を提供しうるが、側部収納ポッド装置に内在するときにも、同様でありうる。
[0021]記載された実施形態では、EFEMは、その前壁上のロードポートにドッキングされる(例えば、EFEM本体の前壁上に構成されたロードポートにドッキングされる)1つ又は複数の基板キャリアから基板を受け入れる。EFEM本体によって少なくとも部分的に形成されたEFEMチャンバ内に位置するロード/アンロードロボットのエンドエフェクタは、処理用の処理チャンバを含むメインフレーム内に移送するために、EFEMの別の表面(例えば、その後面)上に連結された1つ又は複数のロードロック又は処理チャンバに基板を搬送する。
[0022]いくつかの実施形態では、1つ又は複数の環境条件(例えば、相対湿度(RH)、Oの量、EFEMチャンバ内へのパージガス(例えば、非反応性又は不活性)の流量、圧力、温度、又は任意の化学汚染物質の量)は、EFEMチャンバ内で監視及び制御されうる。EFEM本体のロードポートにドッキングされたFOUPのいずれも、EFEMチャンバ内の環境条件に関する特定の事前条件が満たされない限り、開かれない。
[0023]本明細書の1つ又は複数の実施形態では、環境制御された側部収納ポッド装置が提供される。側部収納ポッド装置は、パージガスの流れを導入し、次いで、内部に収納された基板をパージガス流に曝露することによって、環境的に制御されうる。例えば、いくつかの実施形態では、側部収納ポッド装置は、25以上の基板、又は更には50以上の基板の収納を可能にする側部収納ポッドチャンバを含みうる。いくつかの実施形態では、側部収納装置は、取り外し可能/交換可能でありうる複数の側部収納容器を内部に含みうる。1つ又は複数の実施形態では、パージガスは、EFEMチャンバから側部収納ポッドチャンバに提供されうる非反応性又は不活性ガスであってもよく、よって、内部に収納されて処理中に反応性又は汚染性ガスに曝露される任意の基板が、適切に脱気され、望ましくない汚染物質が、そこから分離されうる。
[0024]側部収納ポッド装置は、バッフルプレートなどの適切な穿孔仕切りによって分離される側部ポッド収納チャンバ及びプレナムチャンバを含みうる。バッフルプレートは、内部に形成された一連の流路を含みうる。流路は、可変断面サイズ(例えば、直径)を含み、したがって、バッフルプレートを通る異なる断面を含みうる。流路は、所望の流量パターン又は流量プロファイルが側部収納ポッドチャンバ内に提供されるように配置されうる。特に、基板を収容しうる側部収納ポッドチャンバ内の全ての収納位置において実質的に均一な流速(V)を達成し、更に、上記のように、その内部で所定の最小流速を達成し、その上にある任意の化学汚染物質の分離を助けることが望ましい。
[0025]側部収納ポッドチャンバを通るパージガス流は、EFEM本体の側壁開口部に連結された側部収納ポッド開口部を通って流入しうる。開口部は、常に開いた状態を維持し、ロード/アンロードロボットが、側部収納ポッド装置内の基板収納位置に瞬時にアクセスできるようにしてもよい。側部収納ポッドチャンバからの流れは、バッフルプレートを通ってプレナムチャンバ内に入り、排気口を通って出ることができ、排気ガス流は、EFEMの外部に送ることができる。例えば、排気流は、化学フィルタ、吸収床、又は他の適切な排気スクラビング技術などの適切な排気処理装置に送ることができるだろう。このようにして、非反応性パージガスは、EFEMチャンバを通って、側部収納ポッドチャンバ内に流れ、その内部に収納された任意の基板を超えて、プレナムチャンバ内に流れ、排気口を介して側部収納ポッド装置から流出しうる。これにより、基板上に存在しうる任意の化学汚染物質を分離させ、基板から除去し、側部収納ポッド装置及びEFEMから除去し、そこで基板を適切に配置し、基板を再汚染しないようにすることができる。側部収納ポッドチャンバを通る流量は、有利には、望ましくない汚染物質の許容可能な除去速度を達成するために、いくつかの実施形態において制御されうる。
[0026]例示的な側部収納ポッド装置、側部収納ポッド装置を含むEFEMアセンブリ、及び側部収納ポッド装置に提供される基板を処理する方法の更なる詳細は、本明細書の図1-4を参照して更に説明される。
[0027]図1及び図3A-3Bは、本開示の1つ又は複数の実施形態による電子デバイス処理アセンブリ100の例示的な実施形態の概略図を示す。電子デバイス処理アセンブリ100は、移送チャンバ102を画定するメインフレーム壁を有するメインフレーム101を含みうる。移送ロボット103(点線の円として示される)は、移送チャンバ102内に少なくとも部分的に収容されうる。移送ロボット103は、移送ロボット103のロボットアーム(図示せず)の動作を介して、種々の目的地への基板の配置及びそこからの基板の抽出を行うように構成されうる。本明細書で使用される基板は、半導体ウエハ、シリコン含有ウエハ、パターニングされた又はパターニングされていないウエハ、ガラスプレートなどの電子デバイス又は回路部品を作るために使用される物品を意味するものとする。
[0028]移送ロボット103の様々なロボットアーム構成要素の運きは、コントローラ106から命令された複数の駆動モータを収容する駆動アセンブリ(図示せず)への適切なコマンドによって制御されうる。コントローラ106からの信号は、移送ロボット103の様々なロボットアームの動きを引き起こしうる。適切なフィードバック機構は、位置エンコーダなどの種々のセンサによって、ロボットアームのうちの1つ又は複数に提供されうる。
[0029]移送ロボット103は、移送チャンバ102内のほぼ中央に位置しうる、肩軸の周りを回転可能な相互接続されたロボットアームを含みうる。移送ロボット103は、移送チャンバ102の下部を形成するチャンバ壁(例えば、チャンバ床)に取り付けられるように構成されるベース(図示せず)を含みうる。しかしながら、いくつかの実施形態では、移送ロボット103は、天井に取り付けられてもよい。移送ロボット103は、処理ツールがツイン型処理チャンバ(図示されたように)を含む場合に、ツインチャンバ(例えば、図示されたように、並列の処理チャンバ)を保守するように構成されたデュアル型ロボットでありうる。半径方向に配向された処理チャンバのような他のタイプの処理チャンバ配向、並びに選択的コンプライアンス関節式ロボットアーム(SCARA)ロボットのような他のタイプの移送ロボットが、使用されうる。
[0030]図示された実施形態における移送チャンバ102は、ほぼ正方形又はわずかに長方形の形状であり、複数のファセットを含みうる。移送ロボット103は、移送ロボット103によってアクセス可能な処理チャンバ又は他のチャンバとの間で基板119を移送及び/又は後退させることに適していることがある。
[0031]移送ロボット103の目的地は、搬送された基板に対して処理を実行するように構成され動作可能でありうる第1のファセットに連結された第1の処理チャンバセット108A、108Bといった、1つ又は複数の処理チャンバでありうる。移送ロボット103の更なる目的地はまた、第1の処理チャンバセット108A、108Bとは反対側の第2の処理チャンバセット108C、108Dでありうる。同様に、移送ロボット103の目的地はまた、第3のファセット102Cに連結されたロードロック装置112とは反対側の第3の処理チャンバセット108E、108Fでありうる。
[0032]ロードロック装置112は、内部に1つ又は複数のロードロックチャンバ(例えば、ロードロックチャンバ112A、112B)を含みうる。ロードロック装置112に含まれるロードロックチャンバ112A、112Bは、シングルウエハロードロック(SWLL)チャンバ、マルチウエハチャンバ、バッチロードロックチャンバ、又はそれらの組み合わせでありうる。例えば、ロードロックチャンバ112Aなどの、ある特定のロードロックチャンバが、基板119の移送チャンバ102への流入のために使用される一方で、ロードロックチャンバ112Bなどの他のロードロックチャンバは、移送チャンバ102から基板を移動させるために使用されうる。
[0033]様々な処理チャンバ108A-108Fは、プラズマ気相堆積(PVD)又は化学気相堆積(CVD)、エッチング、アニーリング、前洗浄、金属又は金属酸化物の除去など、基板119の任意の適切なプロセスを実行するように構成され動作可能でありうる。他の堆積、除去、又は洗浄プロセスが、内部に含まれる基板119上で実行されてもよい。
[0034]基板119は、機器フロントエンドモジュール(EFEM)114から移送チャンバ102内に受け入れられ、また、移送チャンバ102を出て、EFEM114の表面(例えば、後壁)に連結されるロードロック装置112を通って、EFEM114に受け入れられてもよい。EFEM114は、EFEMチャンバ114Cを形成するチャンバ壁(例えば、前壁114F、後壁114R、側壁114S、及び上壁(天井)及び下壁(床)(符号なし)を含む、機器フロントエンドモジュール本体114Bを有する任意の容器でありうる。側壁114Sの1つは、EFEMチャンバ114Cへのアクセスを得るために開かれうるアクセスドア114dを含みうる。1つ又は複数のロードポート115は、EFEM本体114Bの表面(例えば、前壁114F)上に提供され、そこで1つ又は複数の基板キャリア116(例えば、FOUP)を受けるように構成されうる。3つの基板キャリア116が示されているが、より多くの又はより少ない数の基板キャリア116がEFEM114とドッキングされてもよい。
[0035]EFEM114は、そのEFEMチャンバ114C内に従来の構造の適切なロード/アンロードロボット117(図3Bに部分的にのみ示される)を含みうる。ロード/アンロードロボット117は、エンドエフェクタ117eを含み、ドアオープナー機構(図示せず)などによって基板キャリア116のドアが開かれると、基板119を基板キャリア116から抽出し、基板119を、EFEMチャンバ114Cを通して、ロードロック装置112のロードロックチャンバ112A、112Bのうちの1つ又は複数内に供給するように構成され動作可能となりうる。
[0036]更に、ロード/アンロードロボット117は、ロードロック装置112から基板119を抽出し、処理チャンバ108A-108Fのうちの1つ又は複数内で基板119を処理した後などに、基板119を側部収納ポッド装置120の1つ又は複数の側部収納コンテナ120a、120b内に供給するように構成され動作可能となりうる。いくつかの実施形態では、ロード/アンロードロボット117は、基板119を基板キャリア116から抽出し、処理前に基板119を1つ又は複数の側部収納コンテナ120a、120b内に供給するように構成され動作可能でありうる。
[0037]側部収納ポッド装置120は、EFEM114の側壁114Sに連結されうる。1つの特徴による側部収納ポッド装置120は、環境的に制御されうる。例えば、いくつかの実施形態では、側部収納ポッド装置120は、1つ又は複数の側部収納コンテナ120a、120bを含み、各々が、基板119の収納を提供するように構成される側部収納ポッドチャンバ123を内部に画定する側部収納容器122を含みうる。
[0038]例えば、25以上、50以上、更には75以上の基板119が、側部収納ポッドチャンバ123の各々に収納されうる。基板119は、EFEM114の側壁114Sに形成された類似の開口部に連結する側部収納容器122の開口部124を介して側部収納ポッドチャンバ123との間で移送されうる。開口部124は、常に開いた状態を維持し、したがって、ロード/アンロードロボットによって内部に収納された基板への自由なアクセスが可能になる。環境制御は、側部収納ポッドチャンバ123内に収納された基板119を、本明細書で十分に説明される所望の流速Vで非反応性ガスのパージガス流に曝露する。
[0039]より詳細には、図2A-図3Bに示されるように、EFEM114の側部収納ポッド装置120は、内部に1つ又は複数の側部収納コンテナ120a、120bを含みうる。2つが示されているが、1つ、2つ、3つ、又は更に4つ以上の側部収納容器が提供されてもよい。それらは、側壁114S上に、図示されたように積み重ねられてもよく、又は他の配向で設けられてもよい。側部収納容器122の各々は、機器フロントエンドモジュール本体114Bの側壁114Sに近接して位置する端面225を有し、EFEM114の本体114Bの側壁114Sに連結するか又は相互接続するように適切に構成されうる。連結は、EFEMチャンバ114Cと側部収納ポッドチャンバ123との間に密封された境界面を提供するために、開口部124を包囲するシーリング部材226によるものでありうる。他の中間部材は、連結を容易にしうる。シーリング部材226は、Oリングシール、長方形シール又はガスケットシール、バルブシールなどの任意の適切なシールでありうる。シール材料は、プロピレンジエンモノマー、フルオロエラストマーなどでありうる。他の適切なシーリング部材及び材料が使用されてもよい。
[0040]シーリング部材226は、適切な数の締め具227又は開口部124の周囲に配置された他のクランピング部材によって圧縮されうる。開口部124は、開口部124の高さに沿ってEFEM114から基板119を受け入れるのに十分な大きさに構成されうる。例えば、開口部124は、基板119を受け入れるのに適した幅(例えば、直径300mm)であり、ロード/アンロードロボット117が、側部収納ポッドチャンバ123の各々に垂直方向に間隔が取られた収納位置を提供する複数の支持部材228(図2A)の各々にアクセスできるように、十分な高さを有しうる。他のサイズの基板119には、他のサイズが使用されうる。
[0041]収納位置は、例えば、ロード/アンロードロボット117のエンドエフェクタがそれらにアクセスできるのに十分な規定された増分で垂直方向に間隔が取られた複数の支持部材228から形成されうる。複数の支持部材228は、ロード/アンロードロボット117のロボットエンドエフェクタ117e(図3A)が、基板119を収納位置上にロードするか、又は基板119を収納位置から取り外すことができるように、十分な距離だけ離間されうる。複数の支持部材228は、基板119を水平に支持するように構成されうる。例えば、支持部材228は、側部収納容器122の各側部から横方向に延びる適切な支持棚を含みうる。支持部材228は、基板119のエッジといった、基板119の一部分を支持するように構成されうる。
[0042]側部収納ポッド装置120の側部収納コンテナ120a、120bは、側部収納チャンバ123の各々に近接して設けられた後部にプレナムチャンバ130を更に含む。プレナムチャンバ130は、側部収納ポッドチャンバ123から分離されうる。プレナムチャンバ130は、側部収納チャンバ123に対して、開口部124とは反対側に位置する側部収納容器122の後側に設けられうる。プレナムチャンバ130は、側部収納チャンバ126とは別個のチャンバであり、バッフルプレート132(本明細書で後述される)などの任意の適切な構成の穿孔仕切りによって分離されうる。バッフルプレート132及びプレナム壁122aによって画定される、プレナムチャンバ130の取り囲まれた空間(circumscribed volume)は、バッフルプレート132から開口部124の平面まで延びる側部収納ポッドチャンバ123の空間よりもはるかに小さくてもよい。例えば、プレナムチャンバ130の各々の空間は、対応する側部収納ポッドチャンバ123の割合の小さな空間でありうる。
[0043]側部収納ポッド装置120及び各側部収納コンテナ120a、120bは、プレナムチャンバ130に連結され、プレナムチャンバ130から排気導管136を通してパージガスを排気するように構成される排気口234を更に含む。排気導管136は、EFEM100の外部から出てもよい。排気導管136は、化学フィルタ、スクラバ、又は排気導管136によって運ばれる排出された排ガスを処理するように構成された他の類似の機能部材といった、排気廃水を処理するための任意の適切な排気処理装置150に連結されうる。
[0044]図示されるように、側部収納容器122は、保持容器129の内部で完全に密封され、保持容器129もまた、ガスケット、O-リング、又はフランジを通して受けられた締め具237(図2A)を保持するような他のシール及び適切なクランピング手段といった、任意の適切な手段によって、EFEM壁114Sに連結されかつ密封されうる。他のクランピング手段が使用されてもよい。
[0045]図2Cに示される側部収納ポッド装置120の部分断面図に最もよく示されるように、排気導管136は、その長さに沿って、プレナム壁122aと保持容器129の保持壁129wとの間の排気導管136の迅速な接続解除を可能にするように構成される迅速な接続解除連結部240を含みうる。例えば、迅速な接続解除連結部240は、側部収納容器122の側面に取り付けられる第1の静止部分を有し、迅速な接続解除連結部240の第2の部分は、例えば、可撓性(例えば、編組式又はアコーディオン式)導管である排気導管136に取り付けられうる。したがって、排気導管136は、迅速に接続解除され、側部収納容器122がプレナムチャンバ130に連結された排気口234から接続解除できるようにする。
[0046]これにより、保守(例えば、洗浄及び/又は他の保守)のために、側部収納容器122を取り外すことが可能になる。排気導管136は、任意の適切な手段によって、保持容器129の保持壁129wを通過する。例えば、保持容器129の保持壁129wを通る排気導管136の通路は、シーリング部材242などの任意の適切な手段によって密封される密封接続によるものでもよい。シーリング部材242は、グロメット又は他の密封されたコネクタでありうる。オプションで、導管136は、形成され、溶接され、又は、別の方法で壁129w内に密封された短いチューブで構成される通路に連結され、次いで、保持壁129wの両側で導管136の部分に連結される。オプションで、迅速な接続解除連結部のような連結部240は、保持容器129の保持壁129wに取り付けられてもよい。排気口234は、図示されたようにプレナムチャンバ130の底部分に近接して、又はプレナムチャンバ130の側方、上部若しくは底部、又は後壁に沿って別の場所に、連結されうる。
[0047]1つ又は複数の実施形態では、例えば、窒素などの非反応性ガスがEFEM114に供給されうる。内部に収納された任意の基板119が非反応性環境に曝露されるように、非反応性ガスは、側部収納ポッドチャンバ123を通って流れうる。非反応性ガス流への曝露は、汚染物質又は他の望ましくない条件(例えば、高湿度レベル)への曝露を防止又は低減し、十分な流速Vが存在するときに、基板119の表面からのある特定の望ましくない化学成分の脱気を引き起こしうる。例えば、望ましくない化学成分は、臭素含有成分、塩素含有成分、フッ素含有成分などのうちの1つ又は複数でありうる。これらの望ましくない化学成分は、パージガス流の適切な流速Vの結果として、基板119の表面から分離され除去されうる。側部収納コンテナ120a、120bの各々を通るパージガス流の速度Vは、例えば、上述のとおりでありうる。速度Vが小さすぎると、望ましくない化学成分を効果的に分離させることができない。流速Vが大きすぎると、大きな圧力、高い運転コスト、及び側部収納ポッドを通る不均一又は非層流が生じる可能性がある。
[0048]ここで図1及び図3A-3Bを参照すると、EFEMチャンバ114Cには、環境制御された雰囲気をEFEMチャンバ114Cに提供する環境制御システム118が設けられうる。特に、環境制御システム118は、EFEM114に連結され、EFEMチャンバ114C内の環境条件を監視及び/又は制御するように動作可能である。いくつかの実施形態では、ある特定の時間には、EFEMチャンバ114Cが、基板119の処理中などに、内部に非反応性ガスを受け入れうる。非反応性ガスは、アルゴン(Ar)、窒素(N)、又はヘリウム(He)などの不活性ガスであり、パージガス供給部118Sから供給されうる。オプションで又は追加的に、パージガス供給部118Aは、例えば、室温(RT)で5%未満の相対湿度(RH)を有するきれいな乾燥空気を含みうる。
[0049]パージガス供給部118Aは、制御バルブ118Vを介してEFEM114の上部プレナム344に連結されうる。このようにして、非反応性ガス(以下、パージガス)の流れは、上側プレナム344から、化学フィルタ345、粒子フィルタ346、又はその両方でありうる1つ又は複数のフィルタを通って、EFEMチャンバ114Cに流れうる。
[0050]化学フィルタ345は、活性炭を含むシートであり、再循環ガス流から所望の低濃度まで特定の望ましくない化学汚染物質を濾過するために使用されうる。化学フィルタ345によって再循環ガス流から濾過される化学汚染物質は、NHなどのベース形成ガスであり、例えば、所望の濃度を提供するために濾過されうる。再循環ガス流キャブから濾過される化学汚染物質は、F、Cl、Br、Oac、NO、NO、PO、HF、HCLなどの特定の酸形成ガスであり、例えば、所望の濃度まで濾過されうる。化学フィルタ345は、フローストリームから、化学汚染物質を100ppb以下、また更には酸形成ガスについては10ppb以下に除去するために使用されうる。
[0051]オプションで、上部プレナム344からの流路は、EFEMチャンバ114C内への実質的に均一なパージガス流を提供するように構成された均質化プレート347を通る流れを含みうる。均質化プレート347は、均質化プレート347からEFEMチャンバ114C内に出るすべての位置で流速を実質的に等しくするようにサイズ決定される複数の孔を内部に含みうる。そこから、パージガス流の一部は、側部収納ポッド装置120の1つ又は複数の側部収納ポッドチャンバ123内に進入する。ガス流の残りは、上部プレナム344に再循環される。再循環ガス流は、複数のリターンポート321を介すなどして、その底部分でEFEMチャンバ114Cを退出しうる。再循環経路は、アクセスドア124dに形成されたチャネル357を通過しうる。他の適切な再循環経路が提供されてもよい。
[0052]図3Aの図示された実施形態では、側部収納容器122を含む2つの側部収納コンテナ120a、120bが設けられ、一方が他方の上に配置される。しかしながら、並列で、空間確保を可能にするような他の適切な配向も可能である。
[0053]パージガス流は、1つ又は複数の側部収納ポッドチャンバ123を通過した後、バッフルプレート132を通過し、それぞれのプレナムチャンバ130に進入する際に、基板119の上、下、及び基板119の間を通過する。次いで、パージガス流は、排気口234、排気導管136を通って排気される。排気導管136は、任意の適切な構造でありうる。排気ガスは、更に、任意の適切な排気処理装置150に流れうる。
[0054]1つ又は複数のバッフルプレート132は、基板119を内部に収容する1つ又は複数の側部収納チャンバ123から、1つ又は複数のプレナムチャンバ130を分離する。特に、図2Bの平面図に最も良く示されるように、バッフルプレート132は、1つ又は複数の側部収納チャンバ123の各々を通る実質的なガス流の均一性を引き起こすように適合された構成を含み、したがって、実質的なガス流の均一性が、内部に含まれる基板119にわたって提供されうる。本明細書で使用される「実質的なガス流の均一性」という用語は、1つ又は複数の側部収納チャンバ123に含まれる垂直に配置された基板119を支持する収納位置の各々を横切る1つ又は複数の側部収納チャンバ123の各々における流速V(図2A)が、25%以下だけ変動するが、15%未満で変動する場合もあることを意味する。したがって、基板119の各々は、望ましくない化学汚染物質がそこから分離されるように、適切なガス流速に曝露されうる。基板119の表面からの望ましくない化学汚染物質の分離を可能にするガス流速Vは、上述の通りでありうる。望ましくない化学汚染物質の分離のために、ガス流速Vは、100cfm以上200cfm以下、又は150cfm以上200cfm以下の範囲にあるべきである。
[0055]実質的なガス流の均一性は、バッフルプレート132を通る一連の流路251(いくつかに符号が付されている)を形成することによって提供されうる。流路251の少なくともいくつかは、流路251の他の流路と比較して異なる断面を有している。例えば、1つの実施形態では、流路251は、バッフルプレート132の1つの垂直部分において一組の比較的大きな流路251L、及びバッフルプレート132の別の垂直部分において一組の比較的小さな流路251Sを画定又は含みうる。
[0056]図示された実施形態では、比較的大きな流路251L、すなわち比較的大きな断面を有するものは、バッフルプレート132の一端232A(例えば、図示されたプレナムチャンバ130の上端)に向かって位置する。例えば、比較的大きな流路251Lは、プレナムチャンバ130内の排気口234の位置から垂直方向に離れて位置決めされうる。図示された実施形態における排気口234は、プレナムチャンバ130の底部端に近接して位置決めされ、したがって、比較的大きな流路251Lは、バッフルプレート132の上端232Aに近接して設けられる。
[0057]しかしながら、いくつかの実施態様では、排気口234は、プレナムチャンバ130の上端と下端との間に配置されうる。この構成では、比較的大きい流路251Lは、バッフルプレート132の上端と下端の両方に設けられ、比較的小さい流路251Sは、排気口234の位置に近い垂直位置に配置されるだろう。
[0058]したがって、EFEMチャンバ114C内に存在するいくつかの環境条件が、側部収納ポッド120の1つ又は複数の側部収納チャンバ123内に提供されうると理解すべきである。側部収納ポッド装置120の1つ又は複数の側部収納ポッドチャンバ123内のパージガスの適切な流速Vが確実に提供されるために、排気口234又は排気導管136は、1つ又は複数の側部収納チャンバ123を通るガス流を補助するための補助ファン152などの流れ補助装置に接続若しくは相互接続するか、又は流れ補助装置を含みうる。補助ファン152からの流れ補助装置は、排気導管136に沿った任意の場所に位置し、いくつかの実施形態では、排気処理装置150の一部でありうる。
[0059]より詳細には、環境制御システム118は、以下のうちの少なくとも1つを制御しうる。
1)相対湿度(RH)、
2)温度(T)、
3)Oの量、又は
4)EFEMチャンバ114C及び/又は側部収納ポッドチャンバ123内の不活性ガス及び/又は非反応性ガスの量。
EFEM114の他の環境条件において、側部収納ポッド収納チャンバ123は、EFEMチャンバ114C内への若しくはそれを通過するガス流通速度及び/若しくは側部収納ポッドチャンバ123内のガス流通速度V、又はEFEMチャンバ114C、側部収納チャンバ123、若しくはその両方の圧力などが、監視及び/又は制御されうる。
[0060]いくつかの実施形態では、環境制御システム118は、コントローラ106を含む。コントローラ106は、適切なプロセッサ、メモリ、及び/又は様々なセンサ(例えば、センサ128(複数可))からの入力を受信し、EFEMチャンバ114C及び/又は1つ又は複数の側部収納ポッドチャンバ123内に存在する環境条件を制御するために、1つ又は複数のバルブ又は他の構成要素(例えば、補助ファン152、再循環ファン354(複数可))を制御するための電子部品を含みうる。
[0061]環境制御システム118は、1つ又は複数の実施形態では、相対湿度(RH)を感知するように構成されるセンサ128(例えば、相対湿度センサ)を用いてEFEM114C内のRHを感知することによって、相対湿度(RH)を監視しうる。任意の適切なタイプの相対湿度センサ、例えば容量性型センサが使用されうる。側部収納ポッド120内の相対湿度はまた、側部収納ポッドチャンバ123、プレナムチャンバ130、又は排気導管136内に適切なセンサ(図示せず)を設けることなどによって監視されうる。RHは、適量の不活性ガス及び/又は非反応性ガスを、環境制御システム118のパージガス供給部118Aから入口ポート355を通ってEFEMチャンバ114C内に流すことによって低下させることができる。
[0062]本明細書に記載されるように、パージガス供給部118Aからの不活性ガス及び/又は非反応性ガスは、アルゴン、N、ヘリウム、きれいな乾燥空気などの別の非反応性ガス、又はそれらの混合物でありうる。HOレベルが低い(例えば、純度≧99.9995%、HO≦5ppm)の圧縮されたバルクガスは、例えば、環境制御システム118におけるパージガス供給部118Aとして使用されうる。5%以下のRHなどの他のHOレベルが使用されてもよい。
[0063]1つ又は複数の実施形態では、別の手段によって、所定の基準湿度値は、電子デバイス処理アセンブリ100又はEFEM114及び側部収納ポッドチャンバ121の環境に曝露された特定の基板119内で実行される特定のプロセスに許容される水分レベルに応じて、約1000ppm未満の水分、約500ppm未満の水分、更には約100ppm未満の水分でありうる。
[0064]環境制御システム118は、EFEM114内の酸素(O)のレベルを感知するように構成及び適合される酸素センサなどのセンサ128を含みうる。側部収納ポッドチャンバ121、プレナムチャンバ130、又は排気導管136内の酸素レベルもまた、監視されうる。いくつかの実施形態では、パージガス供給部118Aから入口ポート355を通ってEFEMチャンバ114C内への適量の不活性ガス及び/又は非反応性ガスの流れを開始する、コントローラ106から環境制御システム118までの制御信号が、酸素(O)のレベルを閾値O値未満に制御するために、発生しうる。1つ又は複数の実施形態では、閾値O値は、電子デバイス処理システム100で実行される特定のプロセス、又はEFEM114、側部収納ポッド120、及び/又は側部収納ポッドチャンバ121の環境に曝露される特定の基板に対して許容される(品質に影響を及ぼさない)Oのレベルに応じて、約10ppm未満のO、約10ppm未満のO、更には約5ppm未満のOでありうる。他の閾値Oの値が使用されてもよい。いくつかの実施形態において、センサ128(例えば、酸素センサ)は、安全な閾値レベルを超えていることを確認し、EFEMチャンバ114Cへの進入を許容する(例えば、メンテナンス中の技術者により)ために、EFEMチャンバ114Cにおける酸素レベルを感知しうる。
[0065]環境制御システム118は、EFEMチャンバ114C内の絶対圧力又は相対圧力を測定する圧力センサ133を更に含みうる。側部収納ポッドチャンバ123、プレナムチャンバ130、又は排気導管136内の圧力レベルもまた、監視されうる。いくつかの実施形態では、コントローラ106は、パージガス供給部118AからEFEMチャンバ114C及び/又は側部収納ポッドチャンバ121内への不活性ガス及び/又は非反応性ガスの流量を制御し、EFEMチャンバ114C、側部収納ポッドチャンバ123、プレナムチャンバ130、又は排気導管136内の圧力を制御しうる。
[0066]本明細書に図示される実施形態では、コントローラ106は、適切なプロセッサ、メモリ、及び様々なセンサ128(複数可)から制御入力を受け取り、閉ループ又は他の適切な制御方式を実行するように適合された、周辺構成要素を有する任意の適切なコントローラでありうる。1つの実施形態では、制御スキームは、EFEMチャンバ114C、側部収納ポッドチャンバ123、プレナムチャンバ130、又は排気導管136内の所望の環境条件を、EFEMチャンバ114Cからのガスの所望の交換速度及び再循環速度とともに達成するために、補助ファン152、再循環ファン354(複数可)、及びベースバルブ356のための制御設定とともに、バルブ118Vを介して入口ポート355を通してEFEM114に導入されるパージガスの流量を変更しうる。いくつかの実施形態では、再循環速度、すなわち、再循環チャネル357を通るEFEMチャンバ114cからの再循環速度(RR)は、1000cfm以上でありうる。RRは、再循環ファン354(複数可)の制御設定によって制御される。
[0067]交換速度(ER)、すなわち、EFEMチャンバ114Cからのパージガスの除去速度は、排気導管136を通して排気されるガスの量と共にベースバルブ356を通して除去されるガスの量を考慮して、200リットル/分以下など、小さくてよい。場合によっては、ベースバルブは排除されうる。
[0068]別の実施形態では、制御スキームは、基板をEFEM114及び/又は側部収納ポッドチャンバ123にいつ移送するかを決定しうる。いくつかの実施形態では、側部収納ポッドチャンバ123は、側部収納ポッドチャンバ123、プレナムチャンバ130、及び/又は排気導管136を監視し、かつ側部収納ポッドチャンバ123内の環境を制御するために、別個のコントローラ及び/又はセンサ(複数可)を使用しうる。
[0069]したがって、EFEM114の本体に取り付けられた側部収納ポッド120は、特定の環境条件下で基板119を収納しうる。例えば、側部収納ポッドチャンバ123は、EFEMチャンバ114C内に存在するのと同じ環境条件(RH、O、及び温度)で基板119を収納しうる。あるいは、側部収納ポッドチャンバ123は、基板収納のためにEFEMチャンバ114Cとは異なる環境条件(例えば、より高い流速V)を採用してもよい。
[0070]いくつかの実施形態では、例えば、側部収納ポッドチャンバ123への進入前に、ガス流を加熱するために、ヒータ(図示せず)が使用されてもよい。いくつかの実施形態では、ヒータは、EFEMチャンバ114c内又は上部プレナムチャンバ344内に設けられてもよく、又は入口ポート355から進入するガスが加熱されてもよい。加熱により、例えば、5oC以上、5oCから10oC以上、又は更には5oCから25oCの側部収納ポッドチャンバ123内のガス流温度が発生しうる。
[0071]動作中、側部収納ポッドコンテナ120a、120bは、アクセスドア129dを介して保持容器129に搬入されるか、又は保持容器129から除去されうる。これにより、側部収納ポッドチャンバ123の容易なメンテナンス及び洗浄、並びに内部に新しい又は洗浄された側部収納コンテナ120a、120bを迅速に追加する能力が可能になる。
[0072]アクセスドア129d及び保持容器129は、側部収納コンテナ120a、120bを包囲する密閉環境を提供するように構成されうる。側部収納ポッドコンテナ120a、120bは、EFEM側壁114Bに対する所定の位置に固定され、開口部124は開いたままにされ、基板119が、命令通りにロード/アンロードロボット117によって側部収納ポッドチャンバ123に搬入されるか、又は側部収納ポッドチャンバ123から除去されることができるようにする。
[0073]いくつかの実施形態では、ロード/アンロードロボット117は、エンドエフェクタ117eの、側部収納ポッドチャンバ123内の収納位置のいずれかとの垂直な位置合わせを可能にする垂直運動能力を含みうる。
[0074]図4は、本明細書で提供される実施形態による、EFEM114の側部収納ポッド装置120内、及び電子デバイス処理アセンブリ100内などでの、基板119を処理する方法400を示す。図4を参照すると、ブロック402において、方法400は、機器フロントエンドモジュール本体114B及び機器フロントエンドモジュールチャンバ114Cを含む機器フロントエンドモジュール114であって、機器フロントエンドモジュール114が、機器フロントエンドモジュール本体115Bの前壁114Fに連結された1つ又は複数のロードポート115を含み、各ロードポート115が、基板キャリア116を支持するように構成された、機器フロントエンドモジュール114と、機器フロントエンドモジュール本体114Bの側壁114Sに連結された側部収納ポッド装置120とを提供することを含む。
[0075]方法400は、ブロック404において、側部収納ポッド装置120内に、バッフルプレート132によって分離された側部収納ポッドチャンバ123及びプレナムチャンバ130と、プレナムチャンバ130に連結された排気口234とを提供することを更に含む。
[0076]方法400は、ブロック406において、パージガス(例えば、非反応性ガス又は不活性ガス)を、機器フロントエンドモジュールチャンバ123内に収納された基板119を横切って、バッフルプレート132を通って、プレナムチャンバ130内に流し、ブロック408において、パージガスを、プレナムチャンバ130から排気口234を通って排気することを更に含む。排気は、その1つ又は複数の排気導管136を通って側部収納ポッド装置120から出て、再循環されるかEFEMチャンバ114Cに戻されるのではなく、排気処理装置150にルート決めされ、そこで適切に処理されうる。
[0077]方法400は、ブロック410において、補助ファン352などを用いて、側部収納ポッドチャンバ123を通るパージガスの流れを補助することを更に含みうる。流れ補助装置は、基板119にわたって所望のレベルまで流速Vを補い増加させ、そこから化学汚染物質を効果的に分離することができる。
[0078]基板119にわたるパージガスの流れは、100cfm以上、140cfm以上、又は更に160cfm以上のガス流速Vを含みうる。100cfm以上かつ200cfm以下の流速Vは、基板119からのハロゲン含有成分のような化学汚染物質の分離に許容できることが見出された。
[0079]側部収納ポッドチャンバ123は、複数の基板119を内部に収納し、ガス流速Vは、側部収納ポッドチャンバ123に収容された複数の基板119の各々にわたり実質的に均一でありうる。実質的に均一なガス流を発生させるために、バッフルプレート132内の穿孔の異なる寸法が使用されうる。例えば、EFEMチャンバ114C、側部収納ポッドチャンバ123、バッフルプレート132、及びプレナムチャンバ130を通るガス流、並びに排気口234を通る出口は、バッフルプレート132のための適切な穿孔構造及びパターンを導出するためにモデル化され、実験的に試験されうる。
[0080]前述の説明は、本開示のいくつかの実施形態の良好な理解を提供するために、特定のシステム、構成要素、方法などの例などの多数の特定の詳細を記載する。しかしながら、本開示の少なくともいくつかの実施形態は、これらの特定の詳細なしに実施されうることが、当業者には明らかであろう。他の例では、本開示を不必要に曖昧にすることを回避するために、周知の構成要素又は方法は、詳細に説明されないか、又は単純なブロック図形式で提示される。したがって、記載された特定の詳細は、単に例示的なものである。特定の実施態様は、これらの例示的な詳細とは異なり、なおも本開示の範囲内にあると考えられうる。
[0081]本明細書全体を通して、「1つの実施形態」又は「実施形態」の参照は、実施形態に関連して説明された特定の特徴、構造、又は特性が、少なくとも1つの実施形態に含まれることを意味する。したがって、本明細書全体の様々な場所における「1つの実施形態では」又は「実施形態では」という語句が現れても、必ずしもすべてが同じ実施形態を参照しているわけではない。加えて、「又は」という用語は、排他的な「又は」ではなく、包括的な「又は」を意味することを意図している。「約」又は「およそ」という用語が本明細書で使用される場合、これは、提示される公称値が±10%以内で正確であることを意味することが意図される。
[0082]本明細書の方法の工程は、特定の順序で図示され説明されるが、特定の工程が逆の順序で実行され、特定の工程が他の工程と同時に少なくとも部分的に実行されるように、各方法の工程の順序が変更されてもよい。別の実施形態では、別個の工程の命令又はサブ工程は、断続的及び/又は交互でありうる。
[0083]上記の説明は、例示を意図したものであり、限定を意図したものではないと理解すべきである。上記の説明を読み理解すれば、多くの他の実施形態が当業者に明らかになるだろう。したがって、開示の範囲は、添付の特許請求の範囲を参照し、そのような特許請求の範囲が権利を有する均等物の全範囲と共に決定されるべきである。

Claims (15)

  1. 機器フロントエンドモジュールの側部収納ポッド装置であって、
    前記機器フロントエンドモジュールの本体の側壁に連結するように構成された表面と、前記機器フロントエンドモジュールから基板を受け入れるように構成された開口部とを有する側部収納容器と、
    基板を支持するように構成された複数の支持部材を有する、前記側部収納容器内の側部収納チャンバと、
    前記側部収納チャンバに近接して設けられたプレナムチャンバであって、前記側部収納チャンバとは別個のチャンバである、プレナムチャンバと、
    前記プレナムチャンバに連結された排気口と
    を備える、側部収納ポッド装置。
  2. 前記プレナムチャンバが、前記開口部とは反対側の前記側部収納容器の側面に位置決めされる、請求項1に記載の側部収納ポッド装置。
  3. 前記プレナムチャンバが、バッフルプレートによって前記側部収納チャンバから分離され、前記バッフルプレートが、前記側部収納チャンバを通して実質的なガス流均一性をもたらすように適合される、請求項1に記載の側部収納ポッド装置。
  4. 前記バッフルプレートを通して形成された一連の流路を更に備える、請求項3に記載の側部収納ポッド装置。
  5. 前記一連の流路の少なくともいくつかが、異なる断面を有する、請求項4に記載の側部収納ポッド装置。
  6. 前記一連の流路が、前記バッフルプレートの1つの垂直部分に位置決めされた一組のより大きな流路と、前記バッフルプレートの別の垂直部分に位置決めされた一組のより小さな流路とを備える、請求項4に記載の側部収納ポッド装置。
  7. 前記一組のより大きな流路が、前記バッフルプレートの一端に向かって位置するか、又は前記排気口から垂直に離れて位置決めされるかのうちの少なくとも1つである、請求項6に記載の側部収納ポッド装置。
  8. 前記排気口が、前記プレナムチャンバの底部分に連結される、請求項1に記載の側部収納ポッド装置。
  9. 前記プレナムチャンバを前記側部収納チャンバから分離するためのバッフルプレートを更に備え、前記バッフルプレートが、前記バッフルプレートを通る異なる断面を有する一連の流路を備え、前記一連の流路が、前記プレナムチャンバの上端に近接して位置する一組のより大きな流路と、前記プレナムチャンバの底部端に近接して位置する一組のより小さな流路とを含む、請求項1に記載の側部収納ポッド装置。
  10. 前記側部収納容器を包囲する保持容器を更に備える、請求項1に記載の側部収納ポッド装置。
  11. 前記排気口に連結され、前記保持容器の壁を通過する排気導管を更に備える、請求項10に記載の側部収納ポッド装置。
  12. 前記排気口に連結された補助ファン、又は前記排気口に連結された排気導管を更に備える、請求項1に記載の側部収納ポッド装置。
  13. フロントエンド機器モジュールであって、
    機器フロントエンド本体と、
    前記機器フロントエンド本体の側壁に連結された側部収納ポッド装置と
    を備え、前記側部収納ポッド装置が、
    前記機器フロントエンド本体の側壁に連結するように構成された表面を有する側部収納容器と、
    基板を支持するように各々が構成された、垂直方向に間隔が取られた複数の収納部材を有する、前記側部収納容器内の側部収納ポッドチャンバと、
    前記側部収納ポッドチャンバとは別個のチャンバを備える、前記側部収納容器内のプレナムチャンバと、
    前記プレナムチャンバを前記側部収納ポッドチャンバから分離するように構成されたバッフルプレートと、
    前記プレナムチャンバに連結された排気口と
    を更に備える、フロントエンド機器モジュール。
  14. 機器フロントエンドモジュールを備え、前記機器フロントエンドモジュールが、
    機器フロントエンドモジュールチャンバを形成する機器フロントエンドモジュール本体と、
    前記機器フロントエンドモジュール本体の前壁に連結された1つ又は複数のロードポートであって、基板キャリアをそこにドッキングするように各々が構成された1つ又は複数のロードポートと、
    前記機器フロントエンドモジュール本体の側壁に連結された側部収納ポッド装置と
    を含み、前記側部収納ポッド装置が、
    側部収納ポッドチャンバの壁を画定し、前記機器フロントエンドモジュール本体に連結するように構成されたシール面を有する側部収納容器であって、前記壁が、基板を支持するように構成された、垂直方向に間隔が取られた複数の支持部材を含む、側部収納容器と、
    前記側部収納ポッドチャンバとは別個のチャンバを備える、前記側部収納容器内のプレナムチャンバと、
    前記プレナムチャンバを前記側部収納ポッドチャンバから分離するように構成されたバッフルプレートと、
    排気口と、
    前記排気口に連結された排気導管と、
    前記排気口又は前記排気導管に連結された補助ファンと
    を備える、電子デバイス処理アセンブリ。
  15. 前記機器フロントエンドモジュールチャンバに連結された環境制御システムであって、前記機器フロントエンドモジュールチャンバに環境制御された雰囲気を提供するための環境制御システムを更に備える、請求項14に記載の電子デバイス処理アセンブリ。
JP2021521400A 2018-10-26 2019-10-23 高流速でガスパージされる側部収納ポッド装置、アセンブリ、及び方法 Active JP7137697B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201862751506P 2018-10-26 2018-10-26
US62/751,506 2018-10-26
US16/657,685 2019-10-18
US16/657,685 US11244844B2 (en) 2018-10-26 2019-10-18 High flow velocity, gas-purged, side storage pod apparatus, assemblies, and methods
PCT/US2019/057646 WO2020086706A1 (en) 2018-10-26 2019-10-23 High flow velocity, gas-purged, side storage pod apparatus, assemblies, and methods

Publications (3)

Publication Number Publication Date
JP2022505396A true JP2022505396A (ja) 2022-01-14
JPWO2020086706A5 JPWO2020086706A5 (ja) 2022-03-11
JP7137697B2 JP7137697B2 (ja) 2022-09-14

Family

ID=70328359

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021521400A Active JP7137697B2 (ja) 2018-10-26 2019-10-23 高流速でガスパージされる側部収納ポッド装置、アセンブリ、及び方法

Country Status (6)

Country Link
US (1) US11244844B2 (ja)
JP (1) JP7137697B2 (ja)
KR (1) KR102535776B1 (ja)
CN (2) CN112912999A (ja)
TW (1) TWI778299B (ja)
WO (1) WO2020086706A1 (ja)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11244844B2 (en) * 2018-10-26 2022-02-08 Applied Materials, Inc. High flow velocity, gas-purged, side storage pod apparatus, assemblies, and methods
US11373891B2 (en) 2018-10-26 2022-06-28 Applied Materials, Inc. Front-ducted equipment front end modules, side storage pods, and methods of operating the same
US11610794B2 (en) * 2018-10-26 2023-03-21 Applied Materials, Inc. Side storage pods, equipment front end modules, and methods for operating the same
US11189511B2 (en) * 2018-10-26 2021-11-30 Applied Materials, Inc. Side storage pods, equipment front end modules, and methods for operating EFEMs
KR102202463B1 (ko) * 2019-03-13 2021-01-14 세메스 주식회사 기판 처리 장치 및 방법
JP7221110B2 (ja) * 2019-03-28 2023-02-13 株式会社Screenホールディングス 基板処理装置
CN113644005A (zh) * 2020-05-11 2021-11-12 中微半导体设备(上海)股份有限公司 一种半导体处理系统
US11315816B2 (en) * 2020-06-10 2022-04-26 Kla Corporation Localized purge module for substrate handling
US20220310404A1 (en) * 2021-03-25 2022-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor processing tool and methods of operation

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6054014A (en) * 1997-05-21 2000-04-25 Vanguard International Semiconductor Corporation Exhaust apparatus
JP2009087972A (ja) * 2007-09-27 2009-04-23 Tokyo Electron Ltd 基板収容機構及び半導体製造装置
US20150107770A1 (en) * 2013-10-18 2015-04-23 Samsung Electronics Co., Ltd. Side storage unit for removing fumes and manufacturing apparatus for semionductor devices having the same
JP2015531546A (ja) * 2012-09-24 2015-11-02 ユ−ジーン テクノロジー カンパニー.リミテッド ヒューム除去装置及び基板処理装置
JP2017011150A (ja) * 2015-06-24 2017-01-12 東京エレクトロン株式会社 格納ユニット、搬送装置、及び、基板処理システム
JP2017139274A (ja) * 2016-02-02 2017-08-10 東京エレクトロン株式会社 基板収納容器の連結機構および連結方法
JP2018509773A (ja) * 2015-03-24 2018-04-05 ピコ アンド テラ カンパニー リミテッドPico & Tera Co., Ltd ウェハー収納容器

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002313867A (ja) * 2001-02-09 2002-10-25 Toshiba Corp 半導体装置の製造方法
JP4816545B2 (ja) * 2007-03-30 2011-11-16 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
TWI475627B (zh) * 2007-05-17 2015-03-01 Brooks Automation Inc 基板運送機、基板處理裝置和系統、於基板處理期間降低基板之微粒污染的方法,及使運送機與處理機結合之方法
WO2009079636A2 (en) * 2007-12-18 2009-06-25 Entegris, Inc. Methods and apparatuses for controlling contamination of substrates
JP2012094822A (ja) * 2010-09-30 2012-05-17 Shibaura Mechatronics Corp 密閉型容器及び半導体製造装置
US10090179B2 (en) * 2011-06-28 2018-10-02 Brooks Automation, Inc. Semiconductor stocker systems and methods
KR101329304B1 (ko) * 2011-07-29 2013-11-14 세메스 주식회사 기판처리장치 및 기판처리방법
KR101215962B1 (ko) 2012-07-30 2012-12-27 이프로링크텍(주) Efem의 버퍼 스토리지 박스
CN105453246A (zh) * 2013-08-12 2016-03-30 应用材料公司 具有工厂接口环境控制的基板处理系统、装置和方法
US9272315B2 (en) * 2013-10-11 2016-03-01 Taiwan Semiconductor Manufacturing Co., Ltd Mechanisms for controlling gas flow in enclosure
TWI749397B (zh) * 2013-12-13 2021-12-11 日商昕芙旎雅股份有限公司 設備前端模組(efem)及半導體製造裝置
JP6349750B2 (ja) 2014-01-31 2018-07-04 シンフォニアテクノロジー株式会社 Efem
KR102162366B1 (ko) * 2014-01-21 2020-10-06 우범제 퓸 제거 장치
US9881826B2 (en) * 2014-10-24 2018-01-30 Lam Research Corporation Buffer station with single exit-flow direction
US10510570B2 (en) 2014-10-24 2019-12-17 Applied Materials, Inc. Systems, apparatus, and methods for purging a substrate carrier at a factory interface
WO2016085622A1 (en) * 2014-11-25 2016-06-02 Applied Materials, Inc. Substrate processing systems, apparatus, and methods with substrate carrier and purge chamber environmental controls
US20180286726A1 (en) * 2015-10-05 2018-10-04 Brooks Ccs Gmbh Humidity control in semiconductor systems
US10515834B2 (en) 2015-10-12 2019-12-24 Lam Research Corporation Multi-station tool with wafer transfer microclimate systems
JP6679906B2 (ja) * 2015-12-11 2020-04-15 Tdk株式会社 Efem
KR20180045316A (ko) * 2016-10-25 2018-05-04 삼성전자주식회사 설비 전방 단부 모듈 및 이를 포함하는 반도체 제조 장치
US10159169B2 (en) * 2016-10-27 2018-12-18 Applied Materials, Inc. Flexible equipment front end module interfaces, environmentally-controlled equipment front end modules, and assembly methods
KR102618491B1 (ko) * 2016-10-31 2023-12-28 삼성전자주식회사 기판 이송 장치
KR20180074276A (ko) 2016-12-23 2018-07-03 피코앤테라(주) 이에프이엠
CN106684023A (zh) * 2017-03-14 2017-05-17 大族激光科技产业集团股份有限公司上海分公司 全封闭式smif系统
US10566216B2 (en) * 2017-06-09 2020-02-18 Lam Research Corporation Equipment front end module gas recirculation
WO2018236544A1 (en) * 2017-06-23 2018-12-27 Applied Materials, Inc. DETACHABLE SIDE STORAGE NACELLE APPARATUS, HEATED SIDE STORAGE NACELLE APPARATUS, SYSTEMS AND METHODS
US10388547B2 (en) * 2017-06-23 2019-08-20 Applied Materials, Inc. Side storage pods, equipment front end modules, and methods for processing substrates
CN111316417B (zh) * 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10763134B2 (en) * 2018-02-27 2020-09-01 Applied Materials, Inc. Substrate processing apparatus and methods with factory interface chamber filter purge
JP2019161105A (ja) 2018-03-15 2019-09-19 東芝メモリ株式会社 半導体装置
JP7100243B2 (ja) 2018-04-19 2022-07-13 シンフォニアテクノロジー株式会社 排気ノズルユニット、ロードポート、及びefem
US11189511B2 (en) * 2018-10-26 2021-11-30 Applied Materials, Inc. Side storage pods, equipment front end modules, and methods for operating EFEMs
US11244844B2 (en) * 2018-10-26 2022-02-08 Applied Materials, Inc. High flow velocity, gas-purged, side storage pod apparatus, assemblies, and methods
US11373891B2 (en) * 2018-10-26 2022-06-28 Applied Materials, Inc. Front-ducted equipment front end modules, side storage pods, and methods of operating the same
US11749537B2 (en) * 2018-10-26 2023-09-05 Applied Materials, Inc. Side storage pods, equipment front end modules, and methods for operating equipment front end modules
US11508593B2 (en) 2018-10-26 2022-11-22 Applied Materials, Inc. Side storage pods, electronic device processing systems, and methods for operating the same
US11610794B2 (en) * 2018-10-26 2023-03-21 Applied Materials, Inc. Side storage pods, equipment front end modules, and methods for operating the same

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6054014A (en) * 1997-05-21 2000-04-25 Vanguard International Semiconductor Corporation Exhaust apparatus
JP2009087972A (ja) * 2007-09-27 2009-04-23 Tokyo Electron Ltd 基板収容機構及び半導体製造装置
JP2015531546A (ja) * 2012-09-24 2015-11-02 ユ−ジーン テクノロジー カンパニー.リミテッド ヒューム除去装置及び基板処理装置
US20150107770A1 (en) * 2013-10-18 2015-04-23 Samsung Electronics Co., Ltd. Side storage unit for removing fumes and manufacturing apparatus for semionductor devices having the same
JP2018509773A (ja) * 2015-03-24 2018-04-05 ピコ アンド テラ カンパニー リミテッドPico & Tera Co., Ltd ウェハー収納容器
JP2017011150A (ja) * 2015-06-24 2017-01-12 東京エレクトロン株式会社 格納ユニット、搬送装置、及び、基板処理システム
JP2017139274A (ja) * 2016-02-02 2017-08-10 東京エレクトロン株式会社 基板収納容器の連結機構および連結方法

Also Published As

Publication number Publication date
KR102535776B1 (ko) 2023-05-26
JP7137697B2 (ja) 2022-09-14
US11244844B2 (en) 2022-02-08
TW202034429A (zh) 2020-09-16
US20200135521A1 (en) 2020-04-30
CN117219547A (zh) 2023-12-12
CN112912999A (zh) 2021-06-04
WO2020086706A1 (en) 2020-04-30
TWI778299B (zh) 2022-09-21
KR20210066935A (ko) 2021-06-07

Similar Documents

Publication Publication Date Title
JP7137697B2 (ja) 高流速でガスパージされる側部収納ポッド装置、アセンブリ、及び方法
JP7305857B2 (ja) インデックス可能な側方収容ポッド装置、加熱側方収容ポッド装置、システム、及び方法
TWI821435B (zh) 側儲存艙、設備前端模組與操作設備前端模組的方法
TWI712096B (zh) 具有工廠界面環境控制之基板處理系統、設備與方法
US11640915B2 (en) Side storage pods, equipment front end modules, and methods for operating EFEMs
JP7365408B2 (ja) 前面ダクト式機器フロントエンドモジュール、側面ストレージポッド、及びそれらの操作方法
KR20150045083A (ko) 사이드 스토리지 및 이를 구비하는 반도체 소자 제조 설비
US11610794B2 (en) Side storage pods, equipment front end modules, and methods for operating the same
CN109290321B (zh) 装载埠的吹净装置及其吹净方法
US11791185B2 (en) Side storage pods, electronic device processing systems, and methods for operating the same
JP3593025B2 (ja) 熱処理装置及びローディング室の冷却方法
JP3330169B2 (ja) ガスシャワーノズルを備えた縦型熱処理装置
TWI841616B (zh) 側儲存倉、設備前端模組、和其操作方法
JP3565577B2 (ja) 処理装置
JP2023530972A (ja) バッチ式ウエハガス抜きチャンバとファクトリインターフェース及び真空下のメインフレームへの統合
TW202333265A (zh) 具有誘導氣體混合的設備前端模組及其使用方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220302

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220302

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20220302

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220419

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220715

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220809

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220902

R150 Certificate of patent or registration of utility model

Ref document number: 7137697

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150