JP2021531380A - 腐食防止剤を含む洗浄組成物 - Google Patents

腐食防止剤を含む洗浄組成物 Download PDF

Info

Publication number
JP2021531380A
JP2021531380A JP2021502808A JP2021502808A JP2021531380A JP 2021531380 A JP2021531380 A JP 2021531380A JP 2021502808 A JP2021502808 A JP 2021502808A JP 2021502808 A JP2021502808 A JP 2021502808A JP 2021531380 A JP2021531380 A JP 2021531380A
Authority
JP
Japan
Prior art keywords
cleaning
cleaning composition
methyl
composition
acid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2021502808A
Other languages
English (en)
Other versions
JP7176089B2 (ja
Inventor
ダニエラ ホワイト,
エリザベス トーマス,
チュン リウ,
マイケル ホワイト,
チャオ−ユ ワン,
ドナルド フライ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Entegris Inc
Original Assignee
Entegris Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Entegris Inc filed Critical Entegris Inc
Publication of JP2021531380A publication Critical patent/JP2021531380A/ja
Application granted granted Critical
Publication of JP7176089B2 publication Critical patent/JP7176089B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/0005Other compounding ingredients characterised by their effect
    • C11D3/0073Anticorrosion compositions
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/34Organic compounds containing sulfur
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/02Inorganic compounds ; Elemental compounds
    • C11D3/04Water-soluble compounds
    • C11D3/044Hydroxides or bases
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2003Alcohols; Phenols
    • C11D3/2006Monohydric alcohols
    • C11D3/201Monohydric alcohols linear
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2072Aldehydes-ketones
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2075Carboxylic acids-salts thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/26Organic compounds containing nitrogen
    • C11D3/28Heterocyclic compounds containing nitrogen in the ring
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3209Amines or imines with one to four nitrogen atoms; Quaternized amines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3218Alkanolamines or alkanolimines
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3245Aminoacids
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3272Urea, guanidine or derivatives thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/3281Heterocyclic compounds
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/22Organic compounds
    • C11D7/32Organic compounds containing nitrogen
    • C11D7/329Carbohydrate or derivatives thereof
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D7/00Compositions of detergents based essentially on non-surface-active compounds
    • C11D7/50Solvents
    • C11D7/5004Organic solvents
    • C11D7/5022Organic solvents containing oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02074Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a planarization of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Emergency Medicine (AREA)
  • Molecular Biology (AREA)
  • Inorganic Chemistry (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Detergent Compositions (AREA)
  • Cleaning And De-Greasing Of Metallic Materials By Chemical Methods (AREA)

Abstract

例えば、化学機械研磨(CMP)後洗浄により、プロセス中のマイクロ電子デバイス基板を洗浄して、その表面から残留物を除去するための洗浄組成物および方法であって、洗浄組成物が、コバルト、銅または両方などの露出された金属とともに、誘電性または低k誘電性材料を含む基板表面を洗浄するのに特に有効であることができ、洗浄組成物が、露出された金属の腐食を防止するための腐食防止剤を含む、洗浄組成物および方法。【選択図】図1

Description

以下の記載は、マイクロ電子デバイス基板の表面を洗浄するための、例えば、マイクロ電子デバイス基板の表面から残留物を洗浄するための液体組成物であって、腐食防止剤を含有する液体組成物に関する。
マイクロ電子デバイス基板は、集積回路デバイスを製作するために使用される。マイクロ電子デバイス基板は、高度に平坦な表面を有するシリコンウェハなどの基材を含む。基材の平坦な表面の上に、多数の選択的な配置および除去工程により、電子機能性機構の領域が付加される。機構は、絶縁性、導電性または半導電性を示す電子機能性材料を選択的に付加および除去することによって作製される。これらの電子機能性材料は、表面の加工を補助する砥粒および化学材料を含有するフォトレジスト、化学エッチング液およびスラリーを含む加工材料を使用することにより、所望の通りに配置される。
集積回路の1つの機構は、「ライン」および「ビア」とも称される導電性「相互接続」のアレイである。集積回路の一部として、導電性相互接続は、種々の他の電子機構の間に電流を伝導するように機能する。各相互接続は、絶縁性材料に形成された開口部の中に延在し、それによって(形状およびサイズが)画定される導電性材料、すなわち、低k誘電性材料などの誘電性材料のラインまたは薄膜の形態にある。誘電性材料は、非常に近接して間隔が空けられた相互接続構造の間、および相互接続構造と集積回路の他の電子機構との間の絶縁体として作用する。
相互接続および低k誘電性構造を生成するために使用される材料の種類は、高い効率および高い信頼性で作動する集積回路の一部として適正に機能するように選択されなければならない。例えば、相互接続の導電性材料は、材料間の電圧の存在下で、経時的かつ使用中に隣接する誘電性材料に過度に移動(例えば、拡散)しない種類であるべきであり;そのような相互接続材料の隣接する誘電性材料への移動は、「エレクトロマイグレーション」と称されることが多い。それと同時に、組み合わされた相互接続および誘電性材料構造は、低レベルの欠陥および高レベルの性能信頼性をもたらすように、これらの材料間の接触面を含む場所で十分な一体性を有さなければならない。例えば、低k誘電性材料が使用中に相互接続材料から分離するのを防ぐために、接触面に強力な結合が存在しなければならない。
相互接続は、これまで一般的に、アルミニウムまたはタングステンから作製され、より近年では銅から作製されている。銅は、有利には、アルミニウムおよびタングステンに比べて高い導電性を有する。さらに、銅ベースの相互接続は、アルミニウムに比べてより良好なエレクトロマイグレーションに対する耐性をもたらし、それにより集積回路の経時的な信頼性を改善する。しかし、銅イオンは、十分な電気バイアスの下で二酸化ケイ素(SiO)に拡散しやすい場合があり、銅の二酸化ケイ素および他の誘電性材料に対する接着性が不良である場合がある。
これらの銅と誘電性材料の負の相互作用を予防するために、近年の集積回路構造は、銅相互接続構造と隣接する誘電性材料との間にバリア層を含むように設計されている。バリア層の例は、導電性材料または非導電性材料であってもよく、例として、タンタル(Ta)、窒化タンタル(TaN)、タングステン(W)、チタン(Ti)、窒化チタン(TiN)、ルテニウム(Ru)、コバルト(Co)、モリブデン(Mo)、レニウム(Rh)およびこれらの合金が挙げられる。
マイクロ電子デバイスの種々の機構を基板に配置する方法は、絶縁性材料(例えば、誘電性、低k誘電性など)、半導電性材料、金属材料(例えば、導電性ラインおよびビア(すなわち、相互接続)などを基板表面に選択的に配置することを含む。これらの材料の選択的な配置および除去は、フォトレジストコーティング、エッチング(例えば、ウェットエッチング、プラズマエッチング)、化学機械加工(いわゆる化学機械研磨、化学機械平坦化、または単に「CMP」)および灰化(「プラズマ灰化」)などの工程において、数ある中でも、砥材および化学材料を含有するフォトレジスト、エッチング液、CMPスラリーなどのプロセス用組成物、ならびにプラズマの使用を伴う場合がある。
化学機械加工は、加工された表面への後続の材料の層の適用に備えて、非常に少量の(薄い)材料をマイクロ電子デバイス基板の表面から正確に除去し、表面を研磨(または「平坦化」)するプロセスである。化学機械加工は、表面に存在するまたは表面から除去される材料の酸化、還元、またはキレート化などの制御された化学材料の相互作用と組み合わされた、高度に正確な表面の機械摩耗を伴う。多くの場合、基板表面における材料の1種(例えば、金属オーバーバーデン)が、同様に表面に存在する1種または複数の他の材料(例えば、誘電性材料)の除去の程度の低さに比べ、高い選択性で優先的に除去される。
CMPプロセスは、表面に「スラリー」を適用するとともに、表面を移動するCMPパッドと接触させることを伴う。「スラリー」は、表面の機械摩耗を付与する微小砥粒とともに、表面の材料と化学的に相互作用し、表面からのある特定の材料の選択的な除去を容易にし、かつ多くの場合、別の表面材料の除去を防止する化学材料を含有する液体組成物である。スラリーは、CMPパッドが、表面からの選択された材料の摩耗除去および化学的除去を容易にするために所望される量の圧力および動きで表面に接触する間に表面に適用される。化学原料の作用と併せた、表面に対して移動するパッドと砥粒の機械作用の組合せにより、所望の低レベルの欠陥および残留物を有する表面の所望の除去、平坦化および研磨が達成される。CMPプロセスは、後続のマイクロ電子デバイスの層を適用することができる、高度に平坦な、欠陥が少ない、残留物が少ない表面を生成する必要がある。
加工工程(例えば、化学機械加工、エッチング、灰化など)後、基板の表面に少なくともある程度の量の残留物が存在することになろう。残留物は、CMPスラリーまたは他の加工材料からの砥粒;CMPスラリー(例えば、酸化性物質、触媒、防止剤)または他の加工組成物(例えば、エッチング液)の一部である活性化学原料;加工材料またはその原料の反応生成物または副生成物;化学エッチング液;フォトレジストポリマーまたは他の固形加工原料などを含みうる。このような残留物はいずれも、欠陥またはデバイスの性能もしくは信頼性を低減させる他の潜在的な原因を回避するために、マイクロ電子デバイスの製作プロセスの後続の工程を実施する前に、表面を洗浄することによって除去されなければならない。
例えば、エッチング工程後、CMP工程後、または多層マイクロ電子デバイスの製作に使用される別の工程後に、マイクロ電子基板の表面を洗浄するために一般的に使用される、ある特定の方法および機器には、残留物および夾雑物を除去するためのメガソニック、ジェッティングまたはブラッシングとの組合せの、表面全体への洗浄溶液の流動を伴うものが含まれる。典型的な洗浄溶液は、例えば、好適な水酸化物化合物とともに、残留物と化学的に相互作用することにより、一緒になって残留物を表面から除去する他の化学材料を含有するアルカリ性溶液である。洗浄溶液は、表面から高パーセンテージの残留物を除去するのに有効であるべきであるが、同時に、基板の機能性機構に対して安全でなくてはならない。洗浄溶液は、これらの機構に損傷を生じてはならない。例えば、洗浄溶液は、基板の金属機構の腐食(すなわち、酸化)を引き起こすべきではなく、例えば、相互接続またはバリア機構として存在しうる基板の銅またはコバルト金属機構を酸化するべきではない。
マイクロ電子デバイス加工のための多くの種類の高pH洗浄組成物は、数ある中でも、塩基性化合物、洗浄化合物、キレート剤、界面活性剤、酸化性物質、腐食防止剤などの原料を含有する。特に、相互接続またはバリア構造として、現在では銅またはコバルトを含みうるものなどの新規のマイクロ電子デバイス構造とともに使用するための、新規の有用かつ改善された洗浄組成物および特定の原料が常に求められている。アルカリ性洗浄溶液のための多様な塩基性化合物が公知かつ有用であり、1つの例は、テトラメチルアンモニウム水酸化物(TMAH)である。しかし、この化合物は皮膚毒素であることが公知であるため、半導体製作産業は、洗浄溶液に使用するための代替的な塩基性化合物に関心をもっている。それと同時に、良好な洗浄性能を有し、好ましくは露出された金属に対する腐食作用が低い新規の有用な洗浄組成物が、銅、コバルトまたは両方を表面に含む基板などの、新規のマイクロ電子デバイス基板を加工するために必要とされる。
プロセス中のマイクロ電子デバイス基板の表面から残留物を除去するために有用な組成物および方法を提供する継続的な必要性が存在する。本発明は、本明細書で「洗浄組成物、例えば、「洗浄溶液」と称される、そのような化合物に関する。好ましい組成物は、表面からの残留物の有効なまたは有効性の高い洗浄をもたらすと同時に、表面の金属機構の損傷(例えば、腐食)を防止する。
本発明によると、有用な洗浄組成物は、水性担体(すなわち、水)とともに、塩基(アルカリ性pHを付与するため)、洗浄化合物および腐食防止剤を含む、非水性原料の組合せを含む。記載した組成物はまた、任意選択で、基板表面から残留物を除去するのに有用でありうる種々の追加の非水性原料のうちのいずれか1種または複数、例えば、キレート剤、酸化性物質、界面活性剤、バッファー、殺生物剤、有機溶媒(例えば、低分子量アルコール、ポリオール)のうちのいずれか1種もしくは複数、または記載した洗浄組成物に有用でありうる、任意の他の微量原料を含有してもよい。洗浄プロセスに使用される前の好ましい洗浄組成物は、溶液として、その非水性原料のすべてが水に実質的に溶解されており、かつ固形砥粒などの懸濁された固形材料を含有しない均一溶液である。
本出願人は、マイクロ電子デバイス基板の表面を洗浄するための洗浄組成物に使用されたときに、金属の腐食を防止するのに有効であることが以前は公知でなかった種々の化合物が、実際には、新規で進歩性のある方法で、これらの洗浄組成物および方法における腐食防止剤として使用するのに有効または有利であることを発見した。概して、本発明の有用な腐食防止剤は、グアニジン官能性添加剤、ピラゾロン官能性添加剤、ならびに8−ヒドロキシキノリンおよび関連化合物を含む。本出願人が、洗浄組成物における新規の発明的な腐食防止剤として特定した、ある特定のより詳細な例示的な化合物として、2−メチル−3−ブチン−2−オール、3−メチル−2−ピラゾリン−5−オン、8−ヒドロキシキノリンおよびジシアンジアミドが挙げられ、これらのいずれも、洗浄組成物において単独で(すなわち、別個に)または組合せで使用することができる。
ある特定の実施形態では、これらの腐食防止剤は、塩基としてテトラメチルアンモニウム水酸化物(TMAH)の存在に基づかない、新規かつ発明的な洗浄組成物において使用することができる。TMAHは、半導体製作産業の洗浄組成物に使用される一般的かつ有効な塩基であるが、その毒性のために、現在では好まれない。他の塩基が公知であり入手可能であるが、洗浄有効性および基板表面の金属(例えば、銅)機構の損傷(例えば、腐食)の予防の点で、TMAHの性能に必ずしも整合できるわけではない。したがって、TMAHを必要としないまたは含有せず、かつTMAHを含有する洗浄溶液と同等の、またはより良好な洗浄有効性および低減された腐食性能を付与することができる新規の洗浄溶液が特に所望される。
したがって、本記載は、プロセス中のマイクロ電子デバイス基板を洗浄するための洗浄組成物であって、水、塩基(塩基性化合物)、洗浄化合物、および2−メチル−3−ブチン−2−オール、3−メチル−2−ピラゾリン−5−オン、ジシアンジアミド、8−ヒドロキシキノリン、またはこれらの2種以上の組合せから選択される腐食防止剤を含む組成物に関する。これらの腐食防止剤のいずれか1種または組合せは、多様な塩基性化合物とともに使用できるまたは有用でありうるが、塩基としてTMAHを含有しない洗浄組成物に使用されることが特に所望される可能性があり;ある特定の例示的な洗浄組成物に有用な代替的な塩基性化合物として、水酸化コリン、テトラエチルアンモニウム水酸化物、またはこれらの組合せが挙げられる。
記載した洗浄組成物は、種々の公知の洗浄化合物のいずれかを含んでもよく、洗浄化合物の詳細な例としてアルカノールアミンが挙げられる。アルカノールアミンは、第一級、第二級または第三級アミンのいずれかを含む、洗浄化合物として有効であろういずれのアルカノールアミンであってもよい。アルカノールアミンは、少なくとも1種のアルカノール置換基(例えば、メタノール、エタノールなど)、および1、2または3つのアルカノール、アルキルまたは代替の有機置換基を有するであろう。ある特定の有用なアルカノールアミンは、モノエタノールアミン(MEA)などの第一級アルカノールアミンである。洗浄組成物は、任意選択で、数ある中でも、モルホリン、L−システイン、ヒドロキシルエチルセルロース、ポリアミン、グリコールエーテルなどの追加の洗浄化合物(すなわち、「二次」洗浄化合物)を含有してもよい。
記載した洗浄組成物は、腐食防止剤として、ジシアンジアミド、グアニル尿素、塩、グアニジン塩、グリコシアミンなどの種々のグアニジン官能性添加剤、ならびに2−メチル−3−ブチン−2−オール、3−メチル−2−ピラゾリン−5−オン、例えば3−メチル−1−4スルホフェニル)−2−ピラゾリン−5−オンまたは3−メチル−1−p−トリル−5−ピラゾロンなどの種々のピラゾロン官能性添加剤、ならびに8−ヒドロキシキノリンおよび関連化合物、(8−ヒドロキシキノリン−2−カルボン酸、5−クロロ7−ヨード−キノリン−8−オール、5,7−ジクロロ−2−[(ジメチルアミノ)メチル)キノリン−8−オール、8−ヒドロキシキノリン−4−カルバルデヒド、8−ヒドロキシキノリン−4−カルバルデヒド−オキシム、8−ヒドロキシキノリン−5−スルホン酸一水和物)またはこれらの2種以上の組合せのいずれかを含んでもよい。任意選択で、組成物は、1種または複数の追加の腐食防止剤(すなわち、1種または複数の「二次」腐食防止剤)、例えば、数ある中でも、シュウ酸、コハク酸、L−酒石酸およびこれらの組合せから選択される二次腐食防止剤を含有してもよい。
好ましい洗浄組成物および方法によると、ジシアンジアミド、2−メチル−3−ブチン−2−オール、3−メチル−2−ピラゾリン−5−オン、8−ヒドロキシキノリンまたはこれらの2種以上の組合せから選択される腐食防止剤を含有するある特定の洗浄組成物は、金属(例えば、銅、コバルトまたは両方)の低減された腐食の点で改善された性能との組合せでの、本明細書に記載した有用なまたは有利な洗浄性能を示すことができる。そのような洗浄組成物のある特定の例はまた、塩基性化合物として、水酸化コリン、テトラエチルアンモニウム水酸化物(TEAH)または両方、洗浄化合物としてアルカノールアミン(例えば、MEA)を含有してもよい。露出された銅機構(例えば、相互接続)、コバルト機構(例えば、バリア層)または両方を含有する基板を洗浄するための、そのような好ましい組成物を使用する洗浄プロセスの際、洗浄中に生じる銅、コバルトまたは両方の腐食の量は、それ以外の点では同一であるが、ジシアンジアミド、グアニル尿素、塩、グアニジン塩、グリコシアミンなどの種々のグアニジン官能性添加剤、ならびに2−メチル−3−ブチン−2−オール、3−メチル−2−ピラゾリン−5−オン、例えば、3−メチル−1−4スルホフェニル)−2−ピラゾリン−5−オンまたは3−メチル−1−p−トリル−5−ピラゾロンなどの種々のピラゾロン官能性添加剤、ならびに8−ヒドロキシキノリンおよび関連化合物(8−ヒドロキシキノリン−2−カルボン酸、5−クロロ7−ヨード−キノリン−8−オール、5,7−ジクロロ−2−[(ジメチルアミノ)メチル)キノリン−8−オール、8−ヒドロキシキノリン−4−カルバルデヒド、8−ヒドロキシキノリン−4−カルバルデヒド−オキシム、8−ヒドロキシキノリン−5−スルホン酸一水和物)またはこれらの2種以上の組合せを含有しない洗浄組成物を用いて、同じ基板およびプロセスを使用して生じる腐食の量に比べて低減されうる。
さらにまたはあるいは、同じ基板を洗浄するための同一のプロセスでも使用される、記載したような好ましい洗浄組成物は、塩基としてのTMAHの使用に基づく他の公知の洗浄組成物と比べると、銅、コバルトまたは両方の腐食の量が相対的に低下する有用な洗浄結果をもたらすことができ;本発明の洗浄組成物およびプロセスを用いて生じる銅、コバルトまたは両方の腐食の量は、同じプロセスでのTMAHベースの洗浄組成物の使用と比べて同じである場合があり、または好ましくは、TMAHベースの洗浄組成物を用いる同じプロセスに比べ、少なくとも10、20、30または40パーセント(例えば、静的エッチ速度として測定して)低減される場合もある。洗浄組成物によって生じる腐食の量は、金属エッチング速度、例えば、静的エッチ速度の試験などの公知の試験を実施することを含む、公知の市販の機器および方法によって測定することができる。
特定の実施形態では、例示的な洗浄組成物は、水と、塩基(例えば、水酸化コリン、TEAH、TMAH、第四級アンモニウム化合物、水酸化カリウムまたはこれらの組合せからなる、または本質的になる)、記載した洗浄化合物、例えばアルカノールアミン(例えば、MEAからなる、または本質的になる);および腐食防止剤(例えば、記載したもの、例えばジシアンジアミド、グアニル尿素、塩、グアニジン塩、グリコシアミンなどの種々のグアニジン官能性添加剤;2−メチル−3−ブチン−2−オール、3−メチル−2−ピラゾリン−5−オン、例えば3−メチル−1−4スルホフェニル)−2−ピラゾリン−5−オンまたは3−メチル−1−p−トリル−5−ピラゾロンなどの種々のピラゾロン官能性添加剤;ならびに8−ヒドロキシキノリンおよび関連化合物(8−ヒドロキシキノリン−2−カルボン酸、5−クロロ7−ヨード−キノリン−8−オール、5,7−ジクロロ−2−[(ジメチルアミノ)メチル)キノリン−8−オール、8−ヒドロキシキノリン−4−カルバルデヒド、8−ヒドロキシキノリン−4−カルバルデヒド−オキシム、8−ヒドロキシキノリン−5−スルホン酸一水和物)のうちの1種もしくは複数からなる、または本質的になり、それぞれが別個に、互いとの組合せで、または1種もしくは複数の追加(例えば、「二次」)腐食防止剤)との組合せで使用されてもよいを含む、からなる、または本質的になる非水性原料とを含有する。
本明細書で使用される場合、別段指定されない限り、1種または複数の指定された項目「から本質的になる」と記載される組成物または組成物の原料は、これらの指定された項目と、非実質的な量以下の他の(追加)材料のみから作製される、例えば、指定された項目、および組成物または原料の総重量に対して5、3、2、1、0.5、0.1、0.05または0.01重量パーセント以下の追加原料のみを含有する組成物または原料を指す。本明細書で使用される場合、1種または複数の指定された項目「からなる」と記載される組成物または組成物の原料は、これらの指定された項目のみから作製される組成物または原料を指す。
さらに、組成物は、有機溶媒、キレーター、錯化物質、ポリマー、界面活性剤または洗浄配合物に典型的な他の成分を任意選択で含んでもよい。
本明細書で使用される場合、「残留物」という用語(「夾雑物」を含む)は、マイクロ電子デバイスの製作に使用される加工工程後に、マイクロ電子デバイス基板の表面に依然として存在する化学材料または粒状材料である任意の材料を指し、例示的な加工工程として、プラズマエッチング、プラズマ灰化(フォトレジストをエッチングされたウェハから除去するため)、化学機械加工、ウェットエッチングなどが挙げられる。残留物は、化学エッチング液、フォトレジスト、CMPスラリーなどの、加工工程に使用される加工組成物の一部である任意の非水性化学材料でありうる。残留物は、あるいは、加工工程中に加工組成物の材料から生じる物質でありうる。これらの種類の残留物の例として、加工後に基板の表面に残留する非水性の、粒状または非粒状の化学材料または砥材(例えば、砥粒、界面活性剤、酸化性物質、腐食防止剤、触媒)が挙げられる。残留物は、CMP砥粒スラリーに存在する固形砥粒または化学材料など、CMPスラリーまたはエッチング組成物などの材料に元々存在する場合がある。あるいは、残留物は、加工中に発生する副生成物または反応生成物(粒状(例えば、凝集物、沈殿物)または非粒状形態の)、例えば、CMPスラリーもしくはウェットエッチング組成物などの加工組成物に存在する化学薬品、またはプラズマエッチングもしくはプラズマ灰化プロセス中に存在する、使用される、または生成される化学薬品の副生成物または反応生成物である場合がある。
「CMP後残留物」という用語は、CMP加工工程の終了時に存在する残留物、例えば、CMPスラリーに存在するまたはそれから生じる粒子または化学材料を指し;特定の例として、砥粒(例えば、シリカ含有またはシリカベースの砥粒、金属酸化物(例えば、アルミナ)粒子、セリアまたはセリアベースの粒子など);酸化性物質、触媒、界面活性剤、防止剤、キレート剤などのスラリーに元々存在する化学薬品;加工される基板表面から除去される金属材料から生じる金属(例えば、イオン)、金属酸化物、もしくは金属錯体;またはスラリーの化学薬品をスラリーの別の化学薬品と、または金属イオンなどの基板から生じる化学材料とともに使用して生成される反応生成物もしくは複合体;パッド粒子;またはCMPプロセスの生成物である任意の他の材料が挙げられる。
「エッチング後残留物」は、気相プラズマエッチングプロセス、例えば、バックエンド(「BEOL」)デュアルダマシン加工、またはウェットエッチングプロセス後に残留する材料を指す。エッチング後残留物は、有機、有機金属、有機ケイ素または無機の性質、例えば、ケイ素含有材料、炭素ベースの有機材料、ならびに酸素およびフッ素などのエッチングガス残留物でありうる。
「灰化後残留物」は、固化したフォトレジストおよび/または下層反射防止膜(BARC)材料を除去するための酸化または還元プラズマ灰化後に残留する材料を指す。灰化後残留物は、有機、有機金属、有機ケイ素または無機の性質でありうる。
本明細書で使用される場合、「低k誘電性材料」は、多層マイクロ電子デバイスの誘電性材料として使用される材料であって、約3.5未満の誘電率を有する材料である。例示的な低k誘電性材料として、ケイ素含有有機ポリマー、ケイ素含有ハイブリッド有機−無機材料、有機ケイ酸塩ガラス(OSG)、TEOS、フッ化ケイ酸塩ガラス(FSG)および炭素ドープ酸化物(CDO)ガラスなどの低極性材料が挙げられる。低k誘電性材料は、有用な密度の範囲および有用な多孔度の範囲の中の密度および多孔度を有しうる。
一態様では、本発明は、マイクロ電子デバイス基板を洗浄するための洗浄組成物に関する。洗浄組成物は、水;少なくとも8のpHを付与する塩基;洗浄化合物;ならびにグアニジン官能性化合物、ピラゾロン官能性化合物、およびヒドロキシキノリン化合物から選択される腐食防止剤を含む。
別の態様では、本発明は、マイクロ電子デバイス基板を洗浄する方法に関する。方法は、記載された通りの洗浄組成物を準備すること;マイクロ電子デバイス基板を準備すること;およびマイクロ電子デバイス基板の表面を洗浄組成物と接触させることを含む。
本発明ではない洗浄組成物と比べた、記載された通りの洗浄組成物のエッチ速度試験データを示すグラフである。 本発明ではない洗浄組成物と比べた、記載された通りの洗浄組成物のエッチ速度試験データを示すグラフである。 本発明ではない洗浄組成物と比べた、記載された通りの洗浄組成物のエッチ速度試験データを示すグラフである。 本発明ではない洗浄組成物と比べた、記載された通りの洗浄組成物の電気化学インピーダンス試験データを示すグラフである。
本発明は、その上に残留物を有するマイクロ電子デバイス基板の表面から残留物を除去する洗浄プロセスに有用な組成物(「洗浄組成物」または「洗浄溶液」)に関する。記載した組成物は、水性担体(すなわち、水)とともに、塩基、洗浄化合物および腐食防止剤を含む非水性原料の組合せを含有するアルカリ性組成物である。記載した組成物はまた、任意選択で、洗浄組成物に有用でありうる種々の非水性原料、例えば、キレート剤、酸化性物質、界面活性剤、バッファー、殺生物剤、有機溶媒(例えば、低分子量アルコール、ポリオール)のうちのいずれか1種または複数、または記載した洗浄溶液に有用でありうる、任意の他の微量原料を含有してもよい。洗浄プロセスに使用される前の好ましい洗浄組成物は、固形砥粒、凝集物、凝固物などの任意の固形材料または懸濁された材料の非存在下で、水および溶解された非水性原料を含有する、からなる、または本質的になる均一溶液である。
記載した洗浄組成物は、特にマイクロ電子デバイス基板、つまり、1つもしくは複数のマイクロ電子デバイス、または最終的な、完成した、かつ機能性のマイクロ電子デバイスへと製作されるプロセス中にあるその前駆体を表面に含む半導体ウェハを含む、マイクロ電子デバイスおよびその前駆体を洗浄するために有用である。本明細書で使用される場合、マイクロ電子デバイスは、電気回路、およびその上に形成される非常に小さな(例えば、ミクロンスケール以下の)寸法の関連構造を含むデバイスである。例示的なマイクロ電子デバイスとして、フラットパネルディスプレイ、集積回路、メモリーデバイス、ソーラーパネル、太陽電池、およびマイクロ電子機械システム(MEMS)が挙げられる。マイクロ電子デバイス基板は、1つもしくは複数のマイクロ電子デバイス、または最終マイクロ電子デバイスを形成するための調製状態にあるその前駆体を含むウェハ(例えば、半導体ウェハ)などの構造である。
本明細書に記載した組成物および方法は、任意の加工段階にある種々の形態のマイクロ電子デバイスのいずれかを洗浄するのに有用でありうる。特定の実用性および利益を伴って洗浄されうるマイクロ電子デバイス基板(または本明細書で省略して単に「基板」)として、露出された銅相互接続材料、または相互接続材料と誘電性もしくは低k誘電性材料との間に位置するコバルトバリア層の形態などの、露出された銅、コバルトまたは両方を基板の表面に含む基板が挙げられる。
本発明によると、組成物を使用して、これらの一般的および特定の種類のマイクロ電子デバイス基板を洗浄し、これらに限定されないが、CMP後残留物、灰化後残留物、エッチング後残留物、またはマイクロ電子デバイス基板の加工工程後に基板表面に存在する他の残留物などの残留物を除去することができる。洗浄組成物は、有用または有益な洗浄特性をもたらす、つまり洗浄組成物は、公知の機器(例えば、CMP後洗浄機器)とともに使用され、マイクロ電子デバイス基板の表面の残留物、夾雑物または両方の量を実質的に低減することができる。本明細書に記載した洗浄組成物および方法を使用することにより、基板表面に存在する残留物の高パーセンテージが表面から成功裡に除去される可能性があり、例えば、残留物の少なくとも70、80、85、90、95または99パーセントが除去されうる(「洗浄効率」とも称される)。
マイクロ電子デバイス基板の表面の残留物を測定するための方法および機器は周知である。洗浄有効性は、洗浄前に存在する残留物粒子の量(例えば、数)と比較した、洗浄後にマイクロ電子デバイスの表面に存在する残留物粒子の量(例えば、数)の低減に基づいて評定されてもよい。例えば、原子間力顕微鏡を使用して洗浄前後の分析が行われてもよい。表面上の残留物粒子は、画素の範囲として記録されてもよい。ヒストグラム(例えば、Sigma Scan Pro)を適用して、ある特定の強度、例えば231〜235の画素にフィルターをかけ、残留物粒子の数を計数してもよい。残留物粒子の除去量、すなわち、洗浄効率は、
(表面上の洗浄前残留物粒子の数−表面上の洗浄後残留物粒子の数)/(表面上の洗浄前残留物粒子の数)
を使用して計算することができる。
あるいは、洗浄有効性は、洗浄後と比べた、洗浄前の残留粒状物質によって覆われる基板表面の総量のパーセンテージとみなされてもよい。例えば、原子間力顕微鏡をプログラミングしてz平面の走査を実施し、ある特定の高さの閾値より上の、目的のトポグラフィー領域を特定し、目的の領域によって覆われた総表面の面積を計算してもよい。洗浄後の、目的の領域であると決定された面積の量の低減は、洗浄組成物および洗浄プロセスがより効果的であることを示唆する。
良好な洗浄有効性に加え、記載した洗浄プロセスおよび洗浄組成物はまた、露出された金属銅または露出された金属コバルトなどの、露出された金属表面の腐食量の低下の有利な影響を受ける、洗浄された基板表面を生成する。そのようなプロセスによって洗浄されてもよい例示的な基板は、例えば、金属相互接続(例えば、銅相互接続)、または金属相互接続と誘電性もしくは低k誘電性材料との間に位置するバリア層材料(例えば、コバルト)として、金属銅または金属コバルトを表面に有する基板である。特定の有用または有利な結果を伴って洗浄されるマイクロ電子デバイス基板のある特定の例として、金属銅(例えば、銅またはその合金)、金属コバルト(例えば、コバルトまたはその合金)および誘電性または低k誘電性材料を含む、露出された構造を表面に含有するものが挙げられる。洗浄組成物の腐食防止は、公知の機器を使用して公知の方法によって実施することができる、静的エッチング率などの金属エッチング率の測定に反映される場合がある。
本発明の組成物は、「濃縮物」(または「濃縮組成物」)と称される種類のもの、および「使用組成物」と称されるものである。多くの場合、洗浄組成物(例えば、溶液)は、比較的少量の水、および結果として比較的濃縮された量の非水性原料を含有する濃縮物の形態で調製され、その後販売される。濃縮物は商業的に調製され、濃縮された量の非水性原料および比較的低減された量の水を含有した状態で販売および輸送され、最終的に濃縮物の購入者によって使用時に希釈される。濃縮物中の様々な非水性原料の量は、濃縮物の希釈時に、結果的に所望の量のこれらの非水性原料が使用組成物中に存在する量となる。
記載した洗浄組成物は、非水性原料の液体担体、好ましくは溶質として水を含む。水は、好ましくは脱イオン(DI)水でありうる。水は、濃縮物の形態の組成物を生成するための他の原料と組み合わされた原料(例えば、塩基、洗浄化合物、腐食防止剤)に含有されることにより;または純粋な形態で濃縮物の他の原料に組み合わされた水として;または濃縮物を希釈して使用組成物を形成するための希釈水として、例えば使用時に使用者によって濃縮物に加えられる水としてなど、任意の供給源に由来する組成物に存在してもよい。
組成物中の水の量は、濃縮物に所望される量、または濃縮物中の水の量と比べて一般的に総量が高い、使用組成物の所望される量であってもよい。例示的な濃縮組成物中の水の量は、限定的とみなされるものではないが、濃縮組成物の総重量に対して約30、40または50〜約85または90重量パーセント、例えば、約60、65または70〜約80重量パーセントの水でありうる。希釈時に、これらの量は、希釈係数で低減されることになる。例示的な使用組成物中の水の量は、使用組成物の総重量に対して約75〜約95重量パーセント、例えば、約82または85〜約90または93重量パーセントの水であってもよい。
組成物は、使用中の洗浄組成物のpHを少なくとも約8、9または10のpHまで、例えば約10〜約12、13または14の範囲のpHまで増加させるのに有効な種類または量の塩基(いわゆる「塩基性化合物」)を含む。塩基は、使用組成物のpHを指定された通りに制御するのに有用な任意の塩基であってもよく、マイクロ電子デバイス基板の表面を洗浄するために適合された、例えばCMP後洗浄溶液のような洗浄溶液に使用するための多くの異なる塩基性化合物が公知である。
塩基性化合物の非限定的な例として、以下:水酸化コリン、テトラブチルホスホニウム水酸化物(TBPH)、テトラメチルホスホニウム水酸化物、テトラエチルホスホニウム水酸化物、テトラプロピルホスホニウム水酸化物、ベンジルトリフェニルホスホニウム水酸化物、メチルトリフェニルホスホニウム水酸化物、エチルトリフェニルホスホニウム水酸化物、N−プロピルトリフェニルホスホニウム水酸化物、テトラエチルアンモニウム水酸化物(TEAH)、テトラプロピルアンモニウム水酸化物(TPAH)、テトラブチルアンモニウム水酸化物(TBAH)、トリメチルエチルアンモニウム水酸化物、ジエチルジメチルアンモニウム水酸化物、トリブチルメチルアンモニウム水酸化物(TBMAH)、ベンジルトリメチルアンモニウム水酸化物(BTMAH)、テトラメチルアンモニウム塩酸塩(TMAH)、トリス(2−ヒドロキシエチル)メチルアンモニウム水酸化物、ジエチルジメチルアンモニウム水酸化物、グアニジン酢酸塩、1,1,3,3−テトラメチルグアニジン、グアニジン炭酸塩、アルギニン、水酸化カリウム(potassiujm)、水酸化セシウムおよびこれらの組合せ、が挙げられる。
記載した新規の本発明の腐食防止剤との組合せで、記載した洗浄組成物に使用されるある特定の現行で好ましい塩基性化合物の例は、水酸化コリンおよびテトラエチルアンモニウム水酸化物(TEAH)である。これらの塩基性化合物は、組成物中に唯一の塩基性化合物として別個に(例えば、単独で);組成物中の互いとの組合せで、すなわち、組成物中に2つのみの塩基性化合物として;または(単独もしくは互いとの組合せのいずれかで)組成物中に1種もしくは複数の追加(例えば、二次)塩基との組合せで含まれてもよい。
ある特定の特に好ましい洗浄組成物は、コリン塩酸塩、テトラエチルアンモニウム水酸化物(TEAH)、水酸化カリウムまたはこれらの組合せからなる、または本質的になる塩基を含む。あるいは、かつより一般的に、記載した洗浄組成物の他の例は、水酸化コリン、水酸化カリウムおよびテトラエチルアンモニウム水酸化物(TEAH)とは異なる1種または複数の追加の塩基との組合せで、水酸化コリン、テトラエチルアンモニウム水酸化物(TEAH)、水酸化カリウムまたはこれらの組合せを含んでもよい。ある特定の好ましいそのような洗浄組成物は、好ましくは、ごく少量量以下のテトラメチルアンモニウム塩酸塩(TMAH)を含有してもよい。コリン塩酸塩、テトラエチルアミン水酸化物、水酸化カリウムまたはこれらの組合せから本質的になる塩基を含有する洗浄組成物は、洗浄組成物中の任意の他の塩基(例えば、本明細書で上記に列挙されたもの、特にテトラメチルアンモニウム塩酸塩(TMAH))の存在を実質的に除外し、かつ組成物中の実質的に唯一の1種または複数の塩基として、コリン塩酸塩、テトラエチルアミン水酸化物またはこれらの組合せを含有するであろう;濃縮物の形態のこの種類の洗浄組成物の例は、濃縮物の総重量に対して1、0.5、0.1、0.05または0.001重量パーセント以下のTMAHを含有してもよい;使用組成物の形態の例は、使用組成物の総重量に対して0.5または0.3重量パーセント以下、例えば0.1、0.05、0.03、0.01、0.005または0.0005重量パーセント以下のTMAHを含有してもよい。
洗浄組成物に含まれる塩基の量(非水性固形物として測定して)は、塩基(または複数の塩基)の種類に依存しえ、かつ使用組成物への希釈時に、使用組成物中で所望のpH、少なくとも8、9または10、例えば、約10〜14、10〜13または10〜12の範囲のpHなどの、のpHを生じることになる塩基の総量でありうる。
非水性、すなわち塩基の「固形」化学成分(塩基性化合物のすべての成分、例えば、ヒドロキシイオンを含む塩基性化合物のヒドロキシアニオンを含む)として測定したとき、例示的な洗浄組成物は、組成物の塩基(すべての種類)、洗浄化合物(すべての種類)および腐食防止剤(すべての種類)の総量100重量部あたり、約3〜95重量部(pbw)の総塩基(固形物、例えば、水酸化コリン、TEAH、水酸化カリウムまたはこれらの組合せ)、例えば、5〜70または90pbwの塩基を含有してもよい(組成物中の3つの指定された非水性原料100重量部を基準とするこれらの塩基の相対量は、組成物中の水の存在または量と無関係であり、洗浄組成物が濃縮物であるか使用組成物であるかにかかわらず、相対基準で同じであることになる)。
別の表現で述べると、例示的な組成物は、組成物に存在する塩基(すべての種類)、洗浄化合物(すべての種類)、腐食防止剤(すべての種類)、およびキレート剤、酸化性物質、界面活性剤、バッファー、有機溶媒などの任意の他の任意選択の原料を含む、組成物の非水性原料の総量100重量部あたり、約3〜95、例えば、5〜70または90重量部(pbw)の塩基(例えば、水酸化コリン、TEAH、水酸化カリウムまたはこれらの組合せ)を含有してもよい。
塩基(非水性「固形」塩基材料)の有用かつ好ましい量の例は、別の表現では、洗浄組成物の総重量の重量パーセンテージとして記載することができ、原料の相対パーセンテージは、使用組成物(非水性原料の量が相対的により低い)と比較して、濃縮組成物(非水性原料の相対量がより高い)では潜在的に異なる。重量パーセントでは、本明細書のある特定の例示的な濃縮組成物は、濃縮組成物の総重量あたり約3〜60重量パーセントの塩基を含みうる。ある特定の例示的な使用組成物は、使用組成物の総重量あたり約0.4〜10重量パーセントの塩基、例えば0.6〜8、または0.7〜4、5、6重量パーセントの塩基を含みうる。
記載した洗浄組成物はまた、洗浄化合物を含む。洗浄化合物は、例えば、残留物を溶解することにより、残留物と複合体を形成することにより、またはそうでなければ残留物と化学的に相互作用することにより、基板表面からの残留物の除去を容易にしうる溶媒として作用することによって、洗浄組成物の洗浄能力(例えば、有効性、効率など)を増大させ、洗浄される基板の表面からの残留物の除去を可能とするのに有効な化合物であってもよい。
洗浄組成物は、所望に応じて、単一の種類の洗浄化合物、または2種以上の異なる種類の洗浄化合物の組合せを含んでもよい。1種または複数の洗浄化合物の種類および量は、使用組成物の所望の性能(例えば、良好な洗浄、および許容されるまたは有利なレベルの耐食性、低レベルの欠陥など)をもたらすことになり、好ましくは有用な性能および安定特性を示す濃縮物を生成することもできる任意のものであってもよい。
1つの洗浄化合物として、本明細書の例示的な洗浄組成物は、アルカノールアミンを含んでもよい。アルカノールアミンは、少なくとも1つのアルカノール基によって置換されるアミン基を含む化学化合物である。アルカノールアミンは、第一級、第二級および第三級アミン化合物を含む、記載した洗浄化合物として有効であることになる任意のアルカノールアミンであってもよい。アルカノールアミン化合物は、少なくとも1種のアルカノール置換基(例えば、メタノール、エタノールなど)および1、2または3つのアルカノール、アルキルまたは代替の有機置換基を有することになる。ある特定の有用なアルカノールアミンは、第一級アルカノールアミン、例えばモノエタノールアミン(MEA)、アミノエチルエタノールアミン、N−メチルアミノエタノール、アミノエトキシエタノール、アミノエトキシエトキシエタノール、ブトキシプロピルアミン、メトキシプロピルアミン、ブトキシイソプロピルアミン、2−エチルヘキシルイソプロポキシアミン、エタノールプロピルアミン、エチルエタノールアミン、n−ヒドロキシエチルモルホリン、アミノプロピルジエタノールアミン、ジメチルアミノエトキシエタノール、ジエタノールアミン、N−メチルジエタノールアミン、モノエタノールアミン、トリエタノールアミン、1−アミノ−2−プロパノール、3−アミノ−1−プロパノール、ジイソプロピルアミン、アミノメチルプロパンジオール、N,N−ジメチルアミノメチルプロパンジオール、アミノエチルプロパンジオール、N,N−ジメチルアミノエチルプロパンジオール、イソプロピルアミン、2−アミノ−1−ブタノール、アミノメチルプロパノール、アミノジメチルプロパノール、N,N−ジメチルアミノメチルプロパノール、イソブタノールアミン、ジイソプロパノールアミン、3−アミノ、4−ヒドロキシオクタン、2−アミノブチルアノール、トリス(ヒドロキシメチル)アミノメタン(TRIS)、N,N−ジメチルトリス(ヒドロキシメチル)アミノメタン、ヒドロキシプロピルアミン、ベンジルアミン、ヒドロキシエチルアミン、トリス(ヒドロキシエチル)アミノメタン、トリエチレンジアミン、テトラエチレンペンタミン(TEPA)、トリエチレンテトラアミン、エチレンジアミン、ヘキサメチレンジアミン、ジエチレントリアミン、トリエチルアミン、トリメチルアミン、ジグリコールアミン、モルホリンおよびこれらの組合せである。
任意選択で、組成物は、洗浄化合物としてのアルカノールアミンとともに、追加(すなわち、「二次」)洗浄化合物を含有してもよい。例として、有機溶媒、水溶性ポリマーまたは界面活性剤が挙げられる。二次洗浄化合物は、洗浄組成物に他の原料との組合せで、有効な洗浄化合物として含まれうる任意の化合物であってもよい。二次洗浄化合物の一部の特定の例として、以下:モルホリン、L−システイン、ヒドロキシルエチルセルロース、ポリアミン、アルギン酸のグリコールエーテル(例えば、プロピレングリコールnブチルエーテル)およびその塩;カルボキシメチルセルロース;デキストラン硫酸およびその塩;ポリ(ガラクツロン酸)およびその塩;(メタ)アクリル酸およびその塩、マレイン酸、無水マレイン酸、スチレンスルホン酸およびその塩、ビニルスルホン酸およびその塩、アリルスルホン酸およびその塩、アクリルアミドプロピルスルホン酸およびその塩の単独重合体;(メタ)アクリル酸およびその塩、マレイン酸、無水マレイン酸、スチレンスルホン酸およびその塩、ビニルスルホン酸およびその塩、アリルスルホン酸およびその塩、アクリルアミドプロピルスルホン酸およびその塩の共重合体;キトサン;カチオン性デンプン;ポリリジンおよびその塩;ジアリルジメチルアンモニウムクロリド(DADMAC)、ジアリルジメチルアンモニウムブロミド、ジアリルジメチルアンモニウム硫酸塩、ジアリルジメチルアンモニウムホスフェート、ジメタリルジメチルアンモニウムクロリド、ジエチルアリルジメチルアンモニウムクロリド、ジアリルジ(ベータ−ヒドロキシエチル)アンモニウムクロリド、ジアリルジ(ベータ−エトキシエチル)アンモニウムクロリド、ジメチルアミノエチル(メタ)アクリレートの酸付加塩および第四級塩、ジエチルアミノエチル(メタ)アクリレートの酸付加塩および第四級塩、7−アミノ−3,7−ジメチルオクチル(メタ)アクリレートの酸付加塩および第四級塩、N,N’−ジメチルアミノプロピルアクリルアミドの酸付加塩および第四級塩、アリルアミン、ジアリルアミン、ビニルアミン、ビニルピリジンの単独重合体;ならびにジアリルジメチルアンモニウムクロリド(DADMAC)、ジアリルジメチルアンモニウムブロミド、ジアリルジメチルアンモニウム硫酸塩、ジアリルジメチルアンモニウムホスフェート、ジメタリルジメチルアンモニウムクロリド、ジエチルアリルジメチルアンモニウムクロリド、ジアリルジ(ベータ−ヒドロキシエチル)アンモニウムクロリド、ジアリルジ(ベータ−エトキシエチル)アンモニウムクロリド、ジメチルアミノエチル(メタ)アクリレートの酸付加塩および第四級塩、ジエチルアミノエチル(メタ)アクリレートの酸付加塩および第四級塩、7−アミノ−3,7−ジメチルオクチル(メタ)アクリレートの酸付加塩および第四級塩、N,N’−ジメチルアミノプロピルアクリルアミドの酸付加塩および第四級塩、アリルアミン、ジアリルアミン、ビニルアミン、ビニルピリジンの共重合体;ココジメチルカルボキシメチルベタイン;ラウリルジメチルカルボキシメチルベタイン;ラウリルジメチル−アルファ−カルボキシエチルベタイン;セチルジメチルカルボキシメチルベタイン;ラウリル−ビス−(2−ヒドロキシエチル)カルボキシメチルベタイン;ステアリル−ビス−(2−ヒドロキシプロピル)カルボキシメチルベタイン;オレイルジメチル−ガンマ−カルボキシプロピルベタイン;ラウリル−ビス−(2−ヒドロキシプロピル)アルファ−カルボキシエチルベタイン;ココジメチルスルホプロピルベタイン;ステアリルジメチルスルホプロピルベタイン;ラウリル−ビス−(2−ヒドロキシエチル)スルホプロピルベタイン;ドデシル硫酸ナトリウム;スルホコハク酸ジオクチルナトリウム塩;ラウリルエーテル硫酸ナトリウム;ポリエチレングリコール分岐−ノニルフェニルエーテル硫酸アンモニウム塩;2−ドデシル−3−(2−スルホナトフェノキシ)二ナトリウム;PEG25−PABA;ポリエチレングリコールモノ−C10−16−アルキルエーテル硫酸ナトリウム塩;(2−N−ブトキシエトキシ)酢酸;ヘキサデシルベンゼンスルホン酸;セチルトリメチルアンモニウム水酸化物;ドデシルトリメチルアンモニウム水酸化物;ドデシルトリメチルアンモニウムクロリド;セチルトリメチルアンモニウムクロリド;N−アルキル−N−ベンジル−N,N−ジメチルアンモニウムクロリド;ドデシルアミン;ポリオキシエチレンラウリルエーテル;ドデセニルコハク酸モノジエタノールアミド;エチレンジアミンテトラキス(エトキシレート−ブロック−プロポキシレート);2−ピロリジノン、1−(2−ヒドロキシエチル)−2−ピロリジノン(HEP)、グリセロール、1,4−ブタンジオール、テトラメチレンスルホン(スルホラン)、ジメチルスルホン、エチレングリコール、プロピレングリコール、ジプロピレングリコール、テトラグライム、ジグライム、グリコールエーテル(例えば、ジエチレングリコールモノメチルエーテル、トリエチレングリコールモノメチルエーテル、ジエチレングリコールモノエチルエーテル、トリエチレングリコールモノエチルエーテル、エチレングリコールモノプロピルエーテル、エチレングリコールモノブチルエーテル、ジエチレングリコールモノブチルエーテル(DEGBE)、トリエチレングリコールモノブチルエーテル(TEGBE)、エチレングリコールモノヘキシルエーテル(EGHE)、ジエチレングリコールモノヘキシルエーテル(DEGHE)、エチレングリコールフェニルエーテル、プロピレングリコールメチルエーテル、ジプロピレングリコールメチルエーテル(DPGME)、トリプロピレングリコールメチルエーテル(TPGME)、ジプロピレングリコールジメチルエーテル、ジプロピレングリコールエチルエーテル、プロピレングリコールn−プロピルエーテル、ジプロピレングリコールn−プロピルエーテル(DPGPE)、トリプロピレングリコールn−プロピルエーテル、プロピレングリコールn−ブチルエーテル(DOWANOL PnB)、ジプロピレングリコールn−ブチルエーテル、トリプロピレングリコールn−ブチルエーテル、プロピレングリコールフェニルエーテル(DOWANOL PPh))、ならびにこれらの組合せ、が挙げられる。
あるいはまたはさらに、洗浄組成物は、1−ヒドロキシエチリデン−1,1−ジホスホン酸(HEDP)、1,5,9−トリアザシクロドデカン−N,N’,N’’−トリス(メチレンホスホン酸)(DOTRP)、1,4,7,10‐テトラアザシクロドデカン‐N,N’,N’’,N’’’‐テトラキス(メチレンホスホン酸)(DOTP)、ニトリロトリス(メチレン)トリホスホン酸、ジエチレントリアミンペンタ(メチレンホスホン酸)(DETAP)、アミノトリ(メチレンホスホン酸)、イス(is)(ヘキサメチレン)トリアミンホスホン酸、1,4,7‐トリアザシクロノナン‐N,N’,N’’‐トリス(メチレンホスホン酸(NOTP)、これらの塩およびこれらの誘導体など、ホスホン酸およびその誘導体から選択される有機添加剤を含んでもよい。
あるいはまたはさらに、洗浄組成物は、ヒドロキシプロピルセルロース、ヒドロキシエチルセルロース、カルボキシメチルセルロース、カルボキシメチルセルロースナトリウム(NaCMC)、ポリビニルピロリドン(PVP)、N−ビニルピロリドンモノマーを使用して作製された任意のポリマー、ポリアクリル酸エステルおよびポリアクリル酸エステルの類似体、ポリアミノ酸(例えば、ポリアラニン、ポリロイシン、ポリグリシン)、ポリアミドヒドロキシウレタン、ポリラクトン、ポリアクリルアミド、キサンタンガム、キトサン、ポリエチレンオキシド、ポリビニルアルコール(PVA)、ポリ酢酸ビニル、ポリアクリル酸、ポリエチレンイミン(PEI)、ソルビトールおよびキシリトールなどの糖アルコール、無水ソルビトールのエステル、TERGITOLなどの第二級アルコールエトキシレートおよびこれらの組合せから選択される有機添加剤を含んでもよい。
好ましい実施形態では、少なくとも1種の有機添加剤は、HEDPを含む。別の好ましい実施態様では、少なくとも1種の有機添加剤は、トリエチレングリコールモノブチルエーテルまたはプロピレングリコールn‐ブチルエーテルまたはプロピレングリコールフェニルエーテルを含む、少なくとも1種のグリコールエーテルを含む。また別の好ましい実施態様では、少なくとも1種の有機添加剤は、HEDP、およびトリエチレングリコールモノブチルエーテルまたはプロピレングリコールn‐ブチルエーテルまたはプロピレングリコールフェニルエーテルを含む、少なくとも1種のグリコールエーテルを含む。さらに別の好ましい実施態様では、少なくとも1種の有機添加剤は、HEC、またはHEDPとHECの組合せ、またはHEC、HEDP、およびトリエチレングリコールモノブチルエーテルもしくはプロピレングリコールn‐ブチルエーテルもしくはプロピレングリコールフェニルエーテルを含む少なくとも1種のグリコールエーテルの組合せ、またはHECと、トリエチレングリコールモノブチルエーテルもしくはプロピレングリコールn‐ブチルエーテルもしくはプロピレングリコールフェニルエーテルを含む少なくとも1種のグリコールエーテルの組合せ、ならびにこれらの組合せを含む。
洗浄組成物は、任意の有用な量の洗浄化合物、例えば、アルカノールアミン、および本明細書で詳細に列挙されるもののうちの1種または複数などの任意選択の二次洗浄化合物を含んでもよい。例えば、洗浄組成物は、組成物の塩基(すべての種類)、洗浄化合物(すべての種類)および腐食防止剤(すべての種類)の総量100重量部あたり約0.01〜80重量部(pbw)の全洗浄化合物(任意の種類)、例えば、0.02〜70pbwの洗浄化合物を含有してもよい(組成物中の3つの指定された原料100重量部を基準とする、これらの洗浄化合物の相対量は、組成物中の水の存在または量と無関係であり、洗浄組成物が濃縮物であるか使用組成物であるかにかかわらず、相対基準で同じである)。
別の表現で述べると、例示的な組成物は、組成物中の非水性原料(例えば、塩基(すべての種類)、洗浄化合物(すべての種類)、腐食防止剤(すべての種類)、およびキレート剤、酸化性物質、界面活性剤、バッファー、有機溶媒などの任意選択の原料)の総量100重量部あたり、約0.01〜80、例えば、0.02〜40重量部(pbw)の洗浄化合物を含有してもよい。
重量パーセントでは、ある特定の例示的な組成物は、濃縮組成物の総重量あたり約0.01〜80重量パーセントの洗浄化合物(すべての種類)、例えば、約0.02〜70重量パーセントの洗浄化合物を含有してもよい。ある特定の例示的な使用組成物は、使用組成物の総重量あたり約0.01〜4重量パーセントの洗浄化合物、例えば0.02〜4重量パーセントの洗浄化合物を含んでもよい。
上記と一致して、ある特定の好ましい例示的な洗浄組成物は、本明細書で詳細に特定されるもののうちの1種または複数などの、1種または複数の二次洗浄化合物との組合せで、洗浄化合物としてアルカノールアミン(例えば、MEA)を含有する。そのような洗浄組成物は、組成物の塩基(すべての種類)、洗浄化合物(すべての種類)および腐食防止剤(すべての種類)の総量100重量部あたり約0.5〜20重量部(pbw)のアルカノールアミン、例えば、1〜10pbwのアルカノールアミンの範囲のアルカノールアミンを含んでもよい。
あるいは、例示的な組成物は、組成物の非水性原料(例えば、塩基(すべての種類)、洗浄化合物(すべての種類)、腐食防止剤(すべての種類)、およびキレート剤、酸化性物質、界面活性剤、バッファー、有機溶媒などの任意選択の原料)の総量100重量部あたり約0.3〜5、例えば、0.4〜4重量部(pbw)のアルカノールアミンを含有してもよい。
重量パーセントでは、アルカノールアミンおよび1種または複数の二次洗浄化合物を含むこれらの例示的な組成物は、濃縮組成物の総重量あたり約0.5〜5重量パーセントのアルカノールアミン、例えば、約0.7〜3重量パーセントのアルカノールアミンを含有してもよい。ある特定の例示的な使用組成物は、使用組成物の総重量あたり約0.05〜3重量パーセントのアルカノールアミン、例えば0.07〜2.5重量パーセントのアルカノールアミンおよび二次洗浄化合物を含んでもよい。
ある特定の例示的な組成物は、アルカノールアミン(例えば、MEA)、ならびにモルホリン、L−システイン、ヒドロキシルエチルセルロース、ポリアミン、グリコールエーテルおよびこれらの組合せから選択される任意選択の二次洗浄化合物からなるまたは本質的になる洗浄化合物を含有してもよい。アルカノールアミン(例えば、MEA)、ならびにモルホリン、L−システイン、ヒドロキシルエチルセルロース、ポリアミン、グリコールエーテルまたはこれらの組合せから選択される任意選択の二次洗浄化合物から本質的になる洗浄化合物を含有する組成物は、(洗浄化合物として)アルカノールアミン(例えば、MEA)、および列挙された任意選択の洗浄化合物のうちの1種または複数とともに、上記の記載における組成物のリスト内の洗浄化合物または有機洗浄添加剤の総重量に対して0.5、0.1、0.05または0.01重量パーセントの任意の他の洗浄化合物のみを含有する組成物である。
記載した洗浄組成物はまた、基板を洗浄するための洗浄組成物の使用中に生じる、基板表面上の金属の腐食の量(例えば、速度)を低減させる任意の化合物である金属腐食防止剤(すなわち、「腐食防止剤」)を含む。本発明によると、記載した洗浄組成物は、ジシアンジアミド、ガレゲン(galegene)、グアニル尿素、グアニル尿素塩(ホスフェート、硫酸塩、塩化物、硝酸塩、ジニトラミド)、グアニジンおよびグアニジン塩(硫酸塩、炭酸塩、塩化物、酢酸塩、乳酸塩、硝酸塩、ホスフェート、チオシアネート)、1−メチルグアニジン硫酸塩、アルギニン、メチルアルギニン、アルギノコハク酸、□−グアニジノプロピオン酸、トリアザビシクロデカ−5−エン、クレアチン、クレアチニン、ベンジルアミロリド、カリポリド、グアルファシン(gualfacine)、ビグアニド、グリコシアミンなどの種々のグアニジン官能性添加剤;2−メチル−3−ブチン−2−オール、3−メチル−2−ピラゾリン−5−オン、例えば3−メチル−1−4スルホフェニル)2−ピラゾリン−5−オンまたは3−メチル−1−p−トリル−5−ピラゾロンなどの種々のピラゾロン官能性添加剤;ならびに8−ヒドロキシキノリンおよび関連化合物(8−ヒドロキシキノリン−2−カルボン酸、5−クロロ7−ヨード−キノリン−8−オール、5,7−ジクロロ−2−[(ジメチルアミノ)メチル)キノリン−8−オール、8−ヒドロキシキノリン−4−カルバルデヒド、8−ヒドロキシキノリン−4−カルバルデヒド−オキシム、8−ヒドロキシキノリン−5−スルホン酸一水和物)などのヒドロキシキノリン化合物、ならびにこれらの組合せから選択される腐食防止剤を含む。
ジシアンジアミドは、式:
Figure 2021531380
を有する公知の化学化合物である。「2−シアノグアニジン」および「ジシアノジアミド」としても公知のジシアンジアミドは、シアナミドを塩基で処理する公知の方法によって作製することができ、市販されている。化合物2−メチル−3−ブチン−2−オール(いわゆるジメチルプロパルギルアルコール(CAS No 115−19−5))もまた市販されており、化合物3−メチル−2−ピラゾリン−5−オン(CAS No 108−26−9)も同様である。アルギニン CAS番号7200−25−1&74−79−3。
これらの腐食防止剤化合物のいずれも、別個に、または特定された腐食防止剤化合物の2種以上の任意の有用な組合せ(例えば、互いに)で洗浄組成物に使用されてもよく、または別個に、もしくは追加的に1種もしくは複数の他の(例えば、「二次」)腐食防止剤との任意の有用な組合せで使用されてもよい。有用な二次腐食防止剤の例として、本発明をこれらの二次腐食防止剤に限定すると解釈されるものではないが、シュウ酸、コハク酸、L&+−酒石酸、クエン酸およびこれらの組合せが挙げられる。
記載した洗浄組成物は、任意の有用な量の腐食防止剤(「防止剤」)、例えば、ジシアンジアミド、2−メチル−3−ブチン−2−オール、3−メチル−2−ピラゾリン−5−オン、アルギニンなどを、別個にまたは組合せで、本明細書で詳細に列挙されるもののうちの1種または複数などの任意の任意選択の二次防止剤とともに含んでもよい。例えば、洗浄組成物は、組成物の塩基(すべての種類)、洗浄化合物(すべての種類)および腐食防止剤(すべての種類)の総量100重量部あたり約0.1または0.5〜1または4重量部(pbw)の全腐食防止剤(任意の種類)、例えば、0.5〜4pbwの腐食防止剤を含有してもよい。
別の表現で述べると、例示的な組成物は、組成物の非水性原料(例えば、塩基(すべての種類)、洗浄化合物(すべての種類)、腐食防止剤(すべての種類)、およびキレート剤、酸化性物質、界面活性剤、バッファー、有機溶媒などの任意選択の原料)の総量100重量部あたり約0.01または0.3〜1または2、例えば、0.05〜1.5または2重量部(pbw)の腐食防止剤を含有してもよい。
重量パーセントでは、本明細書のある特定の例示的な組成物は、濃縮組成物の総重量あたり約0.05〜4重量パーセントの腐食防止剤(すべての種類)、例えば、約0.08〜3重量パーセントの腐食防止剤を含んでもよい。ある特定の例示的な使用組成物は、使用組成物の総重量あたり約0.01〜3重量パーセントの防止剤、例えば0.01〜1.5または2重量パーセントの腐食防止剤を含んでもよい。
上記と一致して、ある特定の好ましい例示的な洗浄組成物は、腐食防止剤として、ジシアンジアミド、2−メチル−3−ブチン−2−オールおよび3−メチル−2−ピラゾリン−5−オンのうちの少なくとも1つまたはこれらの組合せを、本明細書で詳細に特定されるもののうちの1種などの、1種または複数の二次腐食防止剤との組合せで含有する。そのような洗浄組成物は、ジシアンジアミド、2−メチル−3−ブチン−2−オール、3−メチル−2−ピラゾリン−5−オンまたはこれらの組合せを、組成物の塩基(すべての種類)、洗浄化合物(すべての種類)および腐食防止剤(すべての種類)の総量100重量部あたり約0.4〜6重量部(pbw)、例えば0.6〜6pbwの範囲の量で含んでもよい。
別様に述べると、例示的な組成物は、組成物の非水性原料(例えば、塩基(すべての種類)、洗浄化合物(すべての種類)、腐食防止剤(すべての種類)、およびキレート剤、酸化性物質、界面活性剤、バッファー、有機溶媒などの任意選択の原料)の総量100重量部あたり約0.25〜5、例えば、0〜4重量部(pbw)のジシアンジアミド、2−メチル−3−ブチン−2−オールおよび3−メチル−2−ピラゾリン−5−オンまたはこれらの組合せを含有してもよい。
重量パーセントでは、ジシアンジアミド、2−メチル−3−ブチン−2−オール、3−メチル−2−ピラゾリン−5−オンまたはこれらの組合せを含むこれらの例示的な組成物は、濃縮組成物の総重量あたり約0.05〜1.5重量パーセントのジシアンジアミド、2−メチル−3−ブチン−2−オール、3−メチル−2−ピラゾリン−5−オンまたはこれらの組合せ、例えば、約0.07〜1重量パーセントのジシアンジアミド、2−メチル−3−ブチン−2−オールもしくは3−メチル−2−ピラゾリン−5−オンまたはこれらの組合せを含有してもよい。ある特定の例示的な使用組成物は、使用組成物の総重量あたり約0.005〜1重量パーセントのジシアンジアミド、2−メチル−3−ブチン−2−オールもしくは3−メチル−2−ピラゾリン−5−オンまたはこれらの組合せ、例えば0.007〜0.8重量パーセントのジシアンジアミド、2−メチル−3−ブチン−2−オール、3−メチル−2−ピラゾリン−5−オンまたはこれらの組合せを含んでもよい。
これらおよび他の例示的な洗浄組成物は、ジシアンジアミド、2−メチル−3−ブチン−2−オール、3−メチル−2−ピラゾリン−5−オンまたはこれらの組合せからなるまたは本質的になる腐食防止剤を、任意選択でさらに、シュウ酸、コハク酸、L−酒石酸およびこれらの組合せから選択される二次腐食防止剤との組合せで含有してもよい。ジシアンジアミド、2−メチル−3−ブチン−2−オール、3−メチル−2−ピラゾリン−5−オンまたはこれらの組合せから本質的になる腐食防止剤を、任意選択でさらに、シュウ酸、コハク酸、L−酒石酸およびこれらの組合せから選択される二次腐食防止剤との組合せで含有する組成物は、これらの特定された腐食防止剤(および任意選択の腐食防止剤)とともに、組成物中の腐食防止剤の総量に対して0.5、0.1、0.005または0.01重量パーセント以下の任意の他の腐食防止剤のみを含む組成物である。
前述と一致して、ある特定の洗浄組成物の例は、水、塩基(例えば、本明細書で詳細に言及される塩基から選択される)、洗浄化合物(例えば、本明細書で詳細に言及されるものから選択される)および腐食防止剤(例えば、本明細書で詳細に列挙されるものから選択される)からなってもよい、または本質的になってもよい。記載した水、塩基、洗浄化合物および腐食防止剤から本質的になる組成物は、これらの原料、および0.5、0.1、0.05または0.01重量パーセント以下の任意の他の原料を含有してもよい。
好ましい組成物では、洗浄組成物の他の原料との組合せの腐食防止剤は、全体的に、洗浄組成物の所望される、有用なまたは有利な洗浄性能とともに、本発明の腐食防止剤を含有しない以外は同等の洗浄組成物などの、同等の洗浄組成物の使用によって生じる腐食と比べて低減された金属腐食、例えば、露出された銅、コバルトまたは両方の低減された腐食をもたらす組成物を生成する。
任意選択で、水、塩基、洗浄組成物(記載した通りの)および腐食防止剤に加え、洗浄組成物はまた、任意選択で、組成物に溶解され、かつ組成物の洗浄効果または他の性能特色を改善しうる他の非粒状の非水性化学原料を含んでもよい。そのような任意選択の原料の例として、半導体加工、製作およびCMP後洗浄を含む洗浄の技術分野で公知の、ある特定の種類の原料が挙げられる。これらは、洗浄組成物の性能(例えば、洗浄効果)を任意の様式によって改善することが一般的に理解されている原料を含み、例示的な材料は、洗浄化合物、腐食防止剤または塩基と一般的にみなされる場合もあり(これらの用語が本明細書で使用される通り)、より詳細には、例えば、キレート剤(例えば、「錯化剤」)、酸化性物質、界面活性剤、バッファー(pHバッファー)、殺生物剤、有機溶媒などのような、化学機能に関して特徴付けられる場合もある。
「錯化剤」という用語は、半導体加工および洗浄(例えば、CMP後洗浄)技術分野におけるこの用語の使用と一致した方法で本明細書で使用され、本明細書に記載した洗浄組成物を使用してマイクロ電子デバイスの表面から残留物を除去するプロセスの際に、金属原子または金属イオンと化学的に錯化する、または物理的に保持する(封鎖する)ことが公知の化合物である化学錯化剤、キレート剤および封鎖剤を指す。これらの薬剤は、酢酸、アセトンオキシム、アクリル酸、アジピン酸、アラニン、アルギニン、アスパラギン、アスパラギン酸、ベタイン、ジメチルグリオキシム、ギ酸、フマル酸、グルコン酸、グルタミン酸、グルタミン、グルタル酸、グリセリン酸、グリセロール、グリコール酸、グリオキシル酸、ヒスチジン、イミノ二酢酸、イソフタル酸、イタコン酸、乳酸、ロイシン、リジン、マレイン酸、無水マレイン酸、リンゴ酸、マロン酸、マンデル酸、2,4‐ペンタンジオン、フェニル酢酸、フェニルアラニン、フタル酸、プロリン、プロピオン酸、ピロカテコール、ピロメリト酸、キナ酸、セリン、ソルビトール、コハク酸、酒石酸、テレフタル酸、トリメリット酸、トリメシン酸、チロシン、バリン、キシリトール、シュウ酸、タンニン酸、ピコリン酸、1,3‐シクロペンタンジオン、カテコール、ピロガロール、レゾルシノール、ヒドロキノン、シアヌル酸、バルビツル酸、1,2‐ジメチルバルビツル酸、ピルビン酸、プロパンチオール、ベンゾヒドロキサム酸、2,5‐ジカルボキシプリジン(dicarboxypryidine)、4‐(2‐ヒドロキシエチル)モルホリン(HEM)、N‐アミノエチルピペラジン(N‐AEP)、エチレンジアミン四酢酸(EDTA)、1,2‐シクロヘキサンジアミン‐N,N,N’,N’‐四酢酸(CDTA)、N‐(ヒドロキシエチル)‐エチレンジアミン三酢酸(HEdTA)、イミノ二酢酸(IDA)、2‐(ヒドロキシエチル)イミノ二酢酸(HIDA)、ニトリロ三酢酸、チオ尿素、1,1,3,3‐テトラメチル尿素、尿素、尿素誘導体、グリシン、システイン、グルタミン酸、イソロイシン、メチオニン、ピペラジン、N‐(2‐アミノエチル)ピペラジン、ピロリジン、スレオニン、トリプトファン、サリチル酸、p‐トルエンスルホン酸、サリチルヒロキシアム酸(salicylhyroxyamic)、5‐スルホサリチル酸およびこれらの組合せでありうる。
「酸化性物質」および「酸化剤」という用語は、半導体加工および洗浄(例えば、CMP後洗浄)技術分野におけるこれらの用語の使用と一致した方法で本明細書で使用される。例示的な酸化性物質は、少なくとも1つのペルオキシ基(−O−O−)を含有する化合物、およびその最も高い酸化状態にある元素を含有する化合物を含む、無機および有機過化合物を含む。例として、過酸化水素、ならびに尿素過酸化水素および過炭酸塩などのその付加物;過酸化ベンゾイル、過酢酸およびジ−t−ブチルペルオキシドなどの有機過酸化物;二過硫酸塩(S2O8);モノ過硫酸塩(SO5);過酸化ナトリウム;過ヨウ素酸塩;過臭素酸;過ヨウ素酸;過臭素酸塩;過塩素酸;過塩素酸塩;過ホウ酸;過ホウ酸塩;ならびに過マンガン酸塩が挙げられる。
本明細書で使用される場合、「界面活性剤」という用語は、化学加工、半導体加工および半導体洗浄(例えば、CMP後洗浄)技術分野におけるこれらの用語の使用と一致した方法で使用される。それと一致して、「界面活性剤」は、2種の液体間または液体と固体の間の表面張力(または界面張力)を低下させる有機化合物、典型的には疎水性基(例えば、炭化水素(例えば、アルキル)「テール」)および親水性基を含有する有機両親媒性化合物である。
したがって、本明細書で詳細に特定される塩基性化合物、洗浄化合物および腐食防止剤に加え、洗浄組成物は、性能を改善させるのに有用な追加の原料を含んでもよく、そのような原料は、キレート剤(「錯化剤」)、酸化性物質、界面活性剤、バッファー、殺生物剤、有機溶媒および他の有用な種類の微量原料と称されることもある。あるいは、本明細書の組成物のある特定の実施形態は、本明細書で詳細に特定される塩基性化合物、洗浄化合物および腐食防止剤とは異なる、かつそれに加えた原料を回避してもよく、特に除外してもよく、または少量のみを使用してもよい。例示的な組成物は、これらの非水性原料(本明細書で詳細に特定される塩基性化合物、洗浄化合物または腐食防止剤とは異なる)のうちのいずれか1種を、制限された、または実質的でない量以下で、例えば、組成物(濃縮物または使用組成物)の総重量に対して、任意のそのような化合物の1、0.1、0.05、0.01または0.001重量パーセント未満の量で含んでもよい。
洗浄組成物は、基板の表面から残留物を除去するために有用であり、かつ例えば、CMPスラリー中の化学材料または砥材の存在によって化学機械加工工程中に起こるように、基板表面それ自体から実質的な量の材料を除去することを意図しない。したがって、記載した洗浄組成物は、基板表面を構成する材料(表面にある残留物とは対照的に)を機械的に(摩耗によって)除去するのに有効な、実質的でない量より多くの固形砥粒を除外してもよい。そのような除外される砥粒の例は、CMPおよび半導体処理技術分野において周知であり、金属および金属酸化物材料(例えば、アルミニウム、アルミナなど);シリカまたは酸化ケイ素などのケイ素ベースの材料;セリアおよびセリアベースの材料;ジルコニアおよびジルコニアベースの材料などを含む材料から作製されるまたはそれを含有する固形(非溶解)粒子が挙げられる。本明細書の例示的な組成物は、組成物(濃縮物または使用組成物)の総重量に対して0.1、0.05、0.01または0.001重量パーセント未満の任意のそのような固形(非溶解)砥粒(合計)を含有してもよい。
洗浄組成物は、それぞれの原料を単純に加え、溶液などの均一状態まで混合することによって容易に調製することができる。さらに、組成物は、個包装の配合物、または使用時もしくは使用前に混合されるマルチパートの配合物として容易に配合することができ、例えば、マルチパートの配合物のそれぞれのパートは、加工ツール(洗浄装置)もしくは加工ツールの貯蔵タンクの上流のいずれかで、使用者によって混合されてもよい。
記載した組成物は、使用時に適切な量の水で、すなわち「使用組成物」へと希釈することができる濃縮物の形態で販売するために、商業的に準備されてもよい。濃縮物の形態では、組成物(濃縮物)は、濃縮物が所望の量の水(例えば、DI水)で希釈されたとき、洗浄組成物の各成分が、CMP後洗浄工程などの洗浄工程で使用するのに所望される量で、希釈された使用組成物に存在することになるような量で濃縮物に存在することになる非水性原料(例えば、塩基、洗浄化合物、腐食防止剤および他の任意選択の原料)を含む。使用組成物を形成するために濃縮物に加えられる水の量は、濃縮物の体積あたり1または複数の体積の水、例えば、濃縮物の体積あたり2体積の水(例えば、3、4、5または10体積の水)でありうる。濃縮物がそのような量の水で希釈されると、濃縮物の固形成分のそれぞれは、濃縮物を希釈するために加えられる水の体積の数に対して低減した濃度で使用組成物中に存在することになる。
記載した洗浄組成物は、エッチング後残留物の除去、灰化後残留物の除去表面調製、CMP後残留物の除去などの方法によって基板表面を洗浄するためのプロセスを含む、マイクロ電子デバイス加工用途に有用でありうる。そのようなプロセスによって洗浄されてもよい例示的な基板は、例えば、金属相互接続(例えば、銅相互接続)、または金属相互接続と誘電性もしくは低k誘電性材料との間に位置するバリア層材料(例えば、コバルト)として、金属銅、金属コバルトまたは両方を表面に含む基板を含む。特定の有用または有利な結果を伴って洗浄されるマイクロ電子デバイス基板のある特定の例として、金属銅(例えば、銅またはその合金)、金属コバルト(例えば、コバルトまたはその合金)および誘電性または低k誘電性材料を含む、露出された構造を表面に含有するものが挙げられる。洗浄組成物の腐食防止は、公知の機器を使用して公知の方法によって実施することができる、静的エッチング率などの金属エッチング率の測定に反映されうる。
洗浄組成物および洗浄方法は、好ましくは、表面から実質的な量の、洗浄工程前に表面に最初に存在する量の残留物を除去するのに有効である。それと同時に、組成物および方法は、同様に表面に存在する誘電性材料または低k誘電性材料に過度の損傷を生じることなく、かつ選択された基板の表面に同様に存在する金属銅、コバルトまたは両方などの金属相互接続またはバリア層材料に過度の腐食を生じることなく有効である。好ましくは、洗浄組成物は、洗浄工程において、洗浄工程による残留物除去の前に基板の表面に存在する残留物の少なくとも85パーセント、より好ましくは残留物の少なくとも90、さらにより好ましくは残留物の少なくとも95パーセント、最も好ましくは、洗浄工程前に最初に存在する残留物の少なくとも99パーセントを除去するのに有効でありうる。
CMP後残留物洗浄工程などの洗浄工程では、洗浄組成物は、これらに限定されないが、Verteqの枚葉式ウェハメガソニックGoldfinger、OnTrak systemsのDDS(両面スクラバー)、SEZまたは他の枚葉式ウェハスプレーリンス、Applied MaterialsのMirra−Mesa(商標)/Reflexion(商標)/Reflexion LK(商標)およびMegasonicバッチ式ウェットベンチシステムを含むメガソニックおよびブラシスクラブ加工などの、種々の公知の、従来の、市販の洗浄ツールのいずれかとともに使用されてもよい。
洗浄工程の条件および時機は所望の通りであってもよく、基板および残留物の種類に応じて変動する可能性がある。CMP後残留物、エッチング後残留物、灰化後残留物または夾雑物を、その上にこれらを有するマイクロ電子デバイス基板から洗浄するための組成物の使用では、洗浄組成物は、約1秒〜約20分、例えば、約5秒〜10分または約15秒〜約5分の時間にわたって、約20℃〜約90℃、好ましくは約20℃〜約50℃の範囲の温度で基板表面と接触されてもよい。そのような接触時間および温度は例示的であり、表面から初期の量の残留物を少なくとも部分的に、好ましくは実質的に洗浄するのに効果的であれば、任意の他の好適な時間および温度条件が有用である場合がある。
デバイス基板表面の所望のレベルの洗浄に続き、洗浄工程で使用される洗浄組成物は、所与の最終使用用途で所望され、かつ効果的でありうるように、デバイスの表面から容易に除去することができる。例えば、除去は、脱イオン水を含むリンス溶液を使用することによって実施されてもよい。その後、デバイスは、乾燥し(例えば、窒素またはスピンドライサイクルを使用して)、続いて洗浄および乾燥されたデバイス表面の後続の加工を行うことなどによって、所望の通りに加工されてもよい。
他のより一般的または特定の方法では、マイクロ電子デバイス基板は、最初に、CMP加工、プラズマエッチング、ウェットエッチング、プラズマ灰化などのうちのいずれか1種または複数を含む加工工程、続いて基板表面を本明細書の洗浄組成物で洗浄することを含む洗浄工程に供されてもよい。最初の加工工程の終了時には、残留物(例えば、エッチング後残留物、CMP後残留物、灰化後残留物など)は基板表面に存在する。記載した洗浄組成物を使用する洗浄工程は、マイクロ電子デバイスの表面から実質的な量の残留物を洗浄するのに有効であろう。
一部の例示的な洗浄組成物は、種々のグアニジニウム化合物、モノエタノールアミンおよび水酸化カリウムからなってもよい(またはあるいは、本質的になってもよい)。表1を参照されたい:
Figure 2021531380
他の例示的な洗浄組成物は、種々のピラゾロン、モノエタノールアミンおよび水酸化カリウムからなってもよい(またはあるいは、本質的になってもよい)。表2を参照されたい:
Figure 2021531380
また他の例示的な洗浄組成物は、8−ヒドロキシキノリン、モノエタノールアミン、種々のアミノ酸および水酸化カリウムからなってもよい(または本質的になってもよい)。表3を参照されたい:
Figure 2021531380
表1〜3は、本明細書に記載した種々の洗浄組成物、ならびに同等の塩基および他の原料を含有し、本明細書に記載した腐食防止剤のいずれも含まない同等の洗浄組成物の配合を示す。これらの組成物のそれぞれの性能を、銅エッチング速度および電気化学インピーダンスの結果に関して比較した。図1〜4を参照されたい。
エッチング速度、すなわち静的エッチ速度は、表面を洗浄組成物などのある特定の材料に曝露させた際に金属表面から除去される金属の量の尺度である。図1に示されるように、特定の塩基材料とともに本明細書に記載した腐食防止剤を含有する本発明の洗浄組成物は、対照溶液に比べて低いエッチ速度を示す。
金属表面機構の腐食は、マイクロ電子デバイスの故障の高比率を占める。マイクロ電子デバイスの表面での金属の腐食は、洗浄工程中などの加工中に生じる場合があり、これは、加工工程が、マイクロ電子デバイスの表面機構の腐食の発生を促すべきではなく、好ましくはこれを低減させる、防止するまたは予防するべきであることを意味する。腐食防止は、電気化学インピーダンス分光法を使用することによって測定することができる。図4のナイキストプロットに示されるように、特定の塩基材料とともに本明細書に記載した腐食防止剤を含有する本発明の洗浄組成物は、本発明の腐食防止剤を含有しない洗浄組成物と比べて高度の銅の不動態化をもたらす。

Claims (20)

  1. マイクロ電子デバイス基板を洗浄するのに有効な洗浄組成物であって、
    水、
    少なくとも8のpHを付与する塩基、
    洗浄化合物、ならびに
    グアニジン官能性化合物、ピラゾロン官能性化合物、およびヒドロキシキノリン化合物から選択される腐食防止剤
    を含む、洗浄組成物。
  2. 腐食防止剤が、
    ジシアンジアミド、グアニル尿素、グアニジン塩およびグリコシアミンから選択されるグアニジン官能性化合物、
    2−メチル−3−ブチン−2−オール、3−メチル−2−ピラゾリン−5−オン、3−メチル−1−4(スルホフェニル)−2−ピラゾリン−5−オン、3−メチル−1−p−トリル−5−ピラゾロンから選択されるピラゾロン官能性化合物、および
    8−ヒドロキシキノリン、8−ヒドロキシキノリン−2−カルボン酸、5−クロロ7−ヨード−キノリン−8−オール、5,7−ジクロロ−2−[(ジメチルアミノ)メチル)キノリン−8−オール、8−ヒドロキシキノリン−4−カルバルデヒド、8−ヒドロキシキノリン−4−カルバルデヒド−オキシム、8−ヒドロキシキノリン−5−スルホン酸一水和物から選択されるヒドロキシキノリン化合物
    から選択される、請求項1に記載の洗浄組成物。
  3. 塩基が、水酸化コリン、テトラエチルアンモニウム水酸化物、テトラメチルアンモニウム水酸化物、第四級アンモニウム化合物およびこれらの組合せから選択される、請求項1に記載の洗浄組成物。
  4. 洗浄化合物がアルカノールアミンである、請求項1に記載の洗浄組成物。
  5. 腐食防止剤がグアニンである、請求項1に記載の洗浄組成物。
  6. 腐食防止剤がジシアンジアミド、グアニル尿素硫酸塩またはグリコシアミンである、請求項1に記載の洗浄組成物。
  7. 腐食防止剤がジシアンジアミドである、請求項1に記載の洗浄組成物。
  8. 腐食防止剤が、2−メチル−3−ブチン−2−オール、3−メチル−2−ピラゾリン−5−オン、例えば、3−メチル−1−4スルホフェニル)−2−ピラゾリン−5−オンまたは3−メチル−1−p−トリル−5−ピラゾロンである、請求項1に記載の洗浄組成物。
  9. 腐食防止剤が2−メチル−3−ブチン−2−オールである、請求項1に記載の洗浄組成物。
  10. 腐食防止剤が8−ヒドロキシキノリンである、請求項1に記載の洗浄組成物。
  11. 洗浄溶液が80重量パーセント未満の水を含有する濃縮物である、請求項1に記載の洗浄組成物。
  12. 洗浄溶液が少なくとも10重量パーセントの水を含有する使用組成物である、請求項1に記載の洗浄組成物。
  13. モルホリン、L−システイン、ヒドロキシルエチルセルロース、ポリビニルピロリドン、ポリアミン、グリコールエーテルおよびこれらの組合せから選択される二次洗浄組成物を含む、請求項1に記載の洗浄組成物。
  14. シュウ酸、コハク酸、L−酒石酸およびこれらの組合せから選択される二次腐食防止剤を含む、請求項1に記載の洗浄組成物。
  15. キレート剤、酸化性物質、界面活性剤、酸素スカベンジャー、溶媒、ポリマーおよびバッファーのうちの1種または複数を含む、請求項1に記載の洗浄組成物。
  16. マイクロ電子デバイス基板を洗浄する方法であって、
    洗浄組成物を準備すること、
    マイクロ電子デバイス基板を準備すること、および
    マイクロ電子デバイス基板の表面を洗浄組成物と接触させること
    を含む、方法。
  17. 基板の表面が残留物を含み、方法が、残留物の少なくとも70パーセントを除去するのに有効である、請求項16に記載の方法。
  18. 残留物が、CMP後残留物、エッチング後残留物および灰化後残留物から選択される、請求項16に記載の方法。
  19. 表面が、コバルト、銅、およびコバルトと銅の両方から選択される露出された金属を含む、請求項16に記載の方法。
  20. 洗浄中に生じる銅、コバルトまたは両方の腐食の量が、それ以外の点では同一であるが、ジシアンジアミド、2−メチル−3−ブチン−2−オール、3−メチル−2−ピラゾリン−5−オンまたはこれらの2種以上の組合せを含有しない洗浄組成物を用いて、同じ基板およびプロセスを使用して生じる腐食の量に比べて低減される、請求項19に記載の方法。
JP2021502808A 2018-07-20 2019-07-18 腐食防止剤を含む洗浄組成物 Active JP7176089B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862701198P 2018-07-20 2018-07-20
US62/701,198 2018-07-20
PCT/US2019/042421 WO2020018804A1 (en) 2018-07-20 2019-07-18 Cleaning composition with corrosion inhibitor

Publications (2)

Publication Number Publication Date
JP2021531380A true JP2021531380A (ja) 2021-11-18
JP7176089B2 JP7176089B2 (ja) 2022-11-21

Family

ID=69162359

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2021502808A Active JP7176089B2 (ja) 2018-07-20 2019-07-18 腐食防止剤を含む洗浄組成物

Country Status (7)

Country Link
US (1) US11149235B2 (ja)
EP (1) EP3824059A4 (ja)
JP (1) JP7176089B2 (ja)
KR (1) KR20210024187A (ja)
CN (1) CN112424327A (ja)
TW (1) TWI718593B (ja)
WO (1) WO2020018804A1 (ja)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10961487B2 (en) * 2017-11-30 2021-03-30 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device cleaning solution, method of use, and method of manufacture
WO2020096760A1 (en) * 2018-11-08 2020-05-14 Entegris, Inc. Post cmp cleaning composition
CN111778509B (zh) * 2020-07-16 2023-03-21 太原工业学院 一种含精氨酸衍生物的碳钢缓蚀剂及其制备方法与应用
EP4225882A1 (en) 2020-10-05 2023-08-16 Entegris, Inc. Post cmp cleaning compositions
CN113652317A (zh) * 2021-07-16 2021-11-16 张家港安储科技有限公司 一种用于在半导体晶圆清洗过程中的化学机械研磨后的清洗组合物
CN113921383B (zh) 2021-09-14 2022-06-03 浙江奥首材料科技有限公司 一种铜表面钝化组合物、其用途及包含其的光刻胶剥离液
CN113862683B (zh) * 2021-11-01 2024-02-09 长沙永安新材料有限公司 一种不锈钢抛光蜡的清洗剂及清洗工艺
US20230295537A1 (en) * 2022-03-15 2023-09-21 Entegris, Inc. Microelectronic device cleaning composition
CN115261161B (zh) * 2022-06-21 2023-09-29 郑州大学 一种基于壳聚糖的锈蚀青铜器温和除锈凝胶

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006515933A (ja) * 2002-12-20 2006-06-08 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド フォトレジスト除去
JP2007016232A (ja) * 2005-06-23 2007-01-25 Air Products & Chemicals Inc カチオン塩含有残留物除去用の組成物及びそれを使用する方法
WO2010026981A1 (ja) * 2008-09-08 2010-03-11 三菱瓦斯化学株式会社 銅配線表面保護液および半導体回路素子の製造方法
WO2010073887A1 (ja) * 2008-12-25 2010-07-01 ナガセケムテックス株式会社 フォトレジスト剥離剤組成物、積層金属配線基板のフォトレジスト剥離方法及び製造方法
JP2011080042A (ja) * 2009-08-31 2011-04-21 Air Products & Chemicals Inc 水系ストリッピング及び洗浄配合物、並びにその使用方法
JP2011516891A (ja) * 2008-04-09 2011-05-26 バテル メモリアル インスティチュート 腐食検出製品および方法
JP2012021151A (ja) * 2010-06-16 2012-02-02 Sanyo Chem Ind Ltd 銅配線半導体用洗浄剤
US20120048295A1 (en) * 2009-03-11 2012-03-01 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulation for removing residues on surfaces
WO2013187313A1 (ja) * 2012-06-13 2013-12-19 三菱瓦斯化学株式会社 洗浄用液体組成物、半導体素子の洗浄方法、および半導体素子の製造方法
JP2015079163A (ja) * 2013-10-18 2015-04-23 Panasonic Ip Management Corp レジスト剥離液
KR20190105701A (ko) * 2018-03-06 2019-09-18 주식회사 이엔에프테크놀로지 반도체 기판용 세정액 조성물

Family Cites Families (37)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3476560A (en) * 1964-07-28 1969-11-04 Fuji Photo Film Co Ltd Inhibiting fogging action during color development
US6344157B1 (en) * 1999-02-12 2002-02-05 National Starch And Chemical Investment Holding Corporation Conductive and resistive materials with electrical stability for use in electronics devices
JP4583549B2 (ja) * 2000-05-26 2010-11-17 日本表面化学株式会社 プリント配線板の触媒除去液と除去方法
US6583201B2 (en) * 2001-04-25 2003-06-24 National Starch And Chemical Investment Holding Corporation Conductive materials with electrical stability for use in electronics devices
MY143399A (en) * 2001-07-09 2011-05-13 Avantor Performance Mat Inc Microelectronic cleaning compositons containing ammonia-free fluoride salts for selective photoresist stripping and plasma ash residue cleaning
US20030171239A1 (en) 2002-01-28 2003-09-11 Patel Bakul P. Methods and compositions for chemically treating a substrate using foam technology
US8003587B2 (en) 2002-06-06 2011-08-23 Ekc Technology, Inc. Semiconductor process residue removal composition and process
KR100974034B1 (ko) * 2002-11-08 2010-08-04 와코 쥰야꾸 고교 가부시키가이샤 세정액 및 이것을 이용한 세정방법
CN1739064A (zh) * 2002-12-20 2006-02-22 高级技术材料公司 光刻胶的去除
CN1875325B (zh) * 2003-10-29 2011-01-26 马林克罗特贝克公司 含有金属卤化物腐蚀抑制剂的碱性后等离子体蚀刻/灰化残余物去除剂和光致抗蚀剂剥离组合物
US8338087B2 (en) * 2004-03-03 2012-12-25 Advanced Technology Materials, Inc Composition and process for post-etch removal of photoresist and/or sacrificial anti-reflective material deposited on a substrate
US20050205835A1 (en) * 2004-03-19 2005-09-22 Tamboli Dnyanesh C Alkaline post-chemical mechanical planarization cleaning compositions
US20060073997A1 (en) 2004-09-30 2006-04-06 Lam Research Corporation Solutions for cleaning silicon semiconductors or silicon oxides
KR101088568B1 (ko) * 2005-04-19 2011-12-05 아반토르 퍼포먼스 머티리얼스, 인크. 갈바닉 부식을 억제하는 비수성 포토레지스트 스트립퍼
KR20080059442A (ko) * 2005-10-13 2008-06-27 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 금속 상용성 포토레지스트 및/또는 희생 반사방지 코팅제거 조성물
CN101389707B (zh) * 2006-02-23 2010-12-22 汉高股份及两合公司 用于金属清洁和/或酸洗的酸抑制剂组合物
CN101187787A (zh) * 2006-11-17 2008-05-28 安集微电子(上海)有限公司 低蚀刻性光刻胶清洗剂及其清洗方法
US8278258B2 (en) * 2007-02-01 2012-10-02 Henkel Ag & Co. Kgaa Acid inhibitor compositions for metal cleaning and/or pickling
JP4716225B2 (ja) * 2007-05-15 2011-07-06 ナガセケムテックス株式会社 フォトレジスト剥離剤組成物
US20090120457A1 (en) 2007-11-09 2009-05-14 Surface Chemistry Discoveries, Inc. Compositions and method for removing coatings and preparation of surfaces for use in metal finishing, and manufacturing of electronic and microelectronic devices
US8361237B2 (en) 2008-12-17 2013-01-29 Air Products And Chemicals, Inc. Wet clean compositions for CoWP and porous dielectrics
US8765020B2 (en) 2009-05-26 2014-07-01 Baker Hughes Incorporated Method for reducing metal corrosion
US8148310B2 (en) 2009-10-24 2012-04-03 Wai Mun Lee Composition and method for cleaning semiconductor substrates comprising an alkyl diphosphonic acid
SG10201505535VA (en) * 2010-07-16 2015-09-29 Entegris Inc Aqueous cleaner for the removal of post-etch residues
CN102827708A (zh) * 2011-06-16 2012-12-19 安集微电子(上海)有限公司 一种等离子刻蚀残留物清洗液
CN102827707A (zh) * 2011-06-16 2012-12-19 安集微电子科技(上海)有限公司 一种等离子刻蚀残留物清洗液
TW201404877A (zh) * 2012-05-18 2014-02-01 Advanced Tech Materials 用於改善有機殘餘物移除之具有低銅蝕刻速率之清潔水溶液
US20140100151A1 (en) 2012-10-08 2014-04-10 Air Products And Chemicals Inc. Stripping and Cleaning Compositions for Removal of Thick Film Resist
KR101493294B1 (ko) * 2012-10-08 2015-02-16 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 두꺼운 필름 레지스트를 제거하기 위한 스트리핑 및 세정 조성물
US8647445B1 (en) 2012-11-06 2014-02-11 International Business Machines Corporation Process for cleaning semiconductor devices and/or tooling during manufacturing thereof
US9816189B2 (en) 2013-03-15 2017-11-14 Honda Motor Co., Ltd. Corrosion inhibiting compositions and coatings including the same
JP6203525B2 (ja) * 2013-04-19 2017-09-27 関東化學株式会社 洗浄液組成物
US10920141B2 (en) 2013-06-06 2021-02-16 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
EP3099839A4 (en) 2014-01-29 2017-10-11 Entegris, Inc. Post chemical mechanical polishing formulations and method of use
KR102399218B1 (ko) 2014-07-18 2022-05-19 씨엠씨 머티리얼즈, 인코포레이티드 Cmp 후 세정 조성물 및 그와 관련된 방법
CN106222677A (zh) * 2016-09-30 2016-12-14 内江师范学院 一种吡唑啉酮类化合物作为酸洗缓蚀剂的应用
CN110475845B (zh) * 2017-03-24 2022-02-25 富士胶片电子材料美国有限公司 用于移除半导体基板上的残余物的清洁组合物

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2006515933A (ja) * 2002-12-20 2006-06-08 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド フォトレジスト除去
JP2007016232A (ja) * 2005-06-23 2007-01-25 Air Products & Chemicals Inc カチオン塩含有残留物除去用の組成物及びそれを使用する方法
JP2011516891A (ja) * 2008-04-09 2011-05-26 バテル メモリアル インスティチュート 腐食検出製品および方法
WO2010026981A1 (ja) * 2008-09-08 2010-03-11 三菱瓦斯化学株式会社 銅配線表面保護液および半導体回路素子の製造方法
WO2010073887A1 (ja) * 2008-12-25 2010-07-01 ナガセケムテックス株式会社 フォトレジスト剥離剤組成物、積層金属配線基板のフォトレジスト剥離方法及び製造方法
US20120048295A1 (en) * 2009-03-11 2012-03-01 Fujifilm Electronic Materials U.S.A., Inc. Cleaning formulation for removing residues on surfaces
JP2011080042A (ja) * 2009-08-31 2011-04-21 Air Products & Chemicals Inc 水系ストリッピング及び洗浄配合物、並びにその使用方法
JP2012021151A (ja) * 2010-06-16 2012-02-02 Sanyo Chem Ind Ltd 銅配線半導体用洗浄剤
WO2013187313A1 (ja) * 2012-06-13 2013-12-19 三菱瓦斯化学株式会社 洗浄用液体組成物、半導体素子の洗浄方法、および半導体素子の製造方法
JP2015079163A (ja) * 2013-10-18 2015-04-23 Panasonic Ip Management Corp レジスト剥離液
KR20190105701A (ko) * 2018-03-06 2019-09-18 주식회사 이엔에프테크놀로지 반도체 기판용 세정액 조성물

Also Published As

Publication number Publication date
WO2020018804A1 (en) 2020-01-23
KR20210024187A (ko) 2021-03-04
US20200024554A1 (en) 2020-01-23
TWI718593B (zh) 2021-02-11
US11149235B2 (en) 2021-10-19
CN112424327A (zh) 2021-02-26
EP3824059A1 (en) 2021-05-26
EP3824059A4 (en) 2022-04-27
TW202010872A (zh) 2020-03-16
JP7176089B2 (ja) 2022-11-21

Similar Documents

Publication Publication Date Title
JP7176089B2 (ja) 腐食防止剤を含む洗浄組成物
JP7005562B2 (ja) 化学機械研磨後製剤および使用方法
JP6966570B2 (ja) 化学機械研磨後配合物及び使用方法
US10557107B2 (en) Post chemical mechanical polishing formulations and method of use
TWI617705B (zh) 銅腐蝕抑制系統
KR102625498B1 (ko) 코발트 기판의 cmp-후 세정을 위한 조성물 및 방법
TWI647337B (zh) 清潔配方
JP2008543060A (ja) 銅不活性化化学機械研磨後洗浄組成物及び使用方法
WO2015119925A1 (en) Non-amine post-cmp compositions and method of use
EP4225881A1 (en) Microelectronic device cleaning composition
WO2023177541A1 (en) Microelectronic device cleaning composition
US20230159866A1 (en) Microelectronic device cleaning composition
CN118085973A (zh) 化学机械抛光后调配物及其使用方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210401

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210401

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220422

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220426

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220713

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20221011

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20221109

R150 Certificate of patent or registration of utility model

Ref document number: 7176089

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150