JP2021528847A - 裏面照光センサおよびセンサの製造方法 - Google Patents

裏面照光センサおよびセンサの製造方法 Download PDF

Info

Publication number
JP2021528847A
JP2021528847A JP2020570025A JP2020570025A JP2021528847A JP 2021528847 A JP2021528847 A JP 2021528847A JP 2020570025 A JP2020570025 A JP 2020570025A JP 2020570025 A JP2020570025 A JP 2020570025A JP 2021528847 A JP2021528847 A JP 2021528847A
Authority
JP
Japan
Prior art keywords
image sensor
layer
metal interconnect
semiconductor film
circuit element
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2020570025A
Other languages
English (en)
Inventor
ユン−ホ, アレックス チュアン,
ジンジン チャン,
ジョン フィールデン,
デイヴィッド, エル. ブラウン,
村松 雅治
康人 米田
慎也 大塚
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hamamatsu Photonics KK
KLA Corp
Original Assignee
Hamamatsu Photonics KK
KLA Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hamamatsu Photonics KK, KLA Corp filed Critical Hamamatsu Photonics KK
Publication of JP2021528847A publication Critical patent/JP2021528847A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14632Wafer-level processed structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1462Coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/14636Interconnect structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14601Structural or functional details thereof
    • H01L27/1464Back illuminated imager structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14665Imagers using a photoconductor layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/14Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation
    • H01L27/144Devices controlled by radiation
    • H01L27/146Imager structures
    • H01L27/14683Processes or apparatus peculiar to the manufacture or treatment of these devices or parts thereof
    • H01L27/14687Wafer level processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Solid State Image Pick-Up Elements (AREA)
  • Light Receiving Elements (AREA)
  • Transforming Light Signals Into Electric Signals (AREA)
  • Photometry And Measurement Of Optical Pulse Characteristics (AREA)

Abstract

電子または短波長光用の画像センサは、半導体膜と、半導体膜の一方の面に形成された回路素子と、半導体膜の他方の面の純ボロン層とを備える。回路素子は、耐熱金属を含む金属相互接続部によって接続される。純ボロン層の上に反射防止層または保護層を形成してもよい。この画像センサは、複数年にわたる高束での連続使用下でも高効率で安定性に優れている。画像センサは、CCD(電荷結合素子)またはCMOS(相補型金属酸化膜半導体)技術を使用して製造されてもよい。画像センサは、二次元エリアセンサまたは一次元アレイセンサであってもよい。
【選択図】 図1

Description

関連出願
[0001]本出願は、2018年6月18日に出願され、参照により本明細書に引用される米国仮特許出願第62/686,667号(発明の名称「BACK−ILLUMINATED SENSOR AND A METHOD OF MANUFACTURING A SENSOR」)の優先権を主張する、2019年5月23日に出願された米国特許出願第16/421,212号(発明の名称「BACK−ILLUMINATED SENSOR AND A METHOD OF MANUFACTURING A SSENSOR」)の優先権を主張する。
[開示の背景]
[開示の分野]
[0002]本出願は、深UV(DUV)および真空UV(VUV)波長での放射を感知するのに適した画像センサ、およびそのような画像センサを製造するための方法に関する。これらのセンサは、フォトマスク、レチクル、またはウエハ検査システムでの使用やその他の用途に適している。
[関連技術]
[0003]以下の説明および例は、本稿に含まれていることから先行技術であるとはみなされない。
[0004]集積回路産業では、集積回路、フォトマスク、レチクル、太陽電池、電荷結合素子などのこれまでにない小さな機能構造を解像し、これらの構造のサイズと同程度、またはこれより小さい欠陥を感知するために、ますます高解像度を有する検査ツールが必要となっている。
[0005]短波長、例えば約250nmより短い波長で動作する検査システムは、多くの場合、そのような解像度を提供できる。具体的には、フォトマスクまたはレチクルの検査では、リソグラフィに使用される波長と同じかそれに近い波長、すなわち現世代のリソグラフィでは193.4nmに近く、将来のEUVリソグラフィでは13.5nmに近い波長を使用して検査することが望ましい。パターンによって生じる検査光の位相シフトが、リソグラフィの間に生じるものと同一または非常に類似しているためである。半導体パターン化ウエハを検査する場合、広い波長範囲は個々の波長で反射率に大きな変化を引き起こす可能性のある層厚やパターン寸法の小さな変化に対する感度を低減させ得るため、近UV、DUV、および/またはVUV領域の波長を含む波長範囲などの比較的広い波長範囲にわたって動作する検査システムが好適となり得る。
[0006]フォトマスク、レチクル、半導体ウエハ上の小さな欠陥や粒子を感知するには、高い信号対雑音比が必要である。感知された光子数の統計的変動(ポアソンノイズ)は信号対雑音比の基本的な制限であるため、高速で検査するときに高い信号対雑音比を確保するには、高い光子束密度が必要である。多くの場合、ピクセル当たり約10万以上の光子が必要である。検査システムは通常、停止時間はごく短く、1日24時間使用されているため、センサはわずか数か月の動作後、大量の放射にさらされる。
[0007]真空波長が250nmの光子は、約5eVのエネルギーを有する。二酸化ケイ素のバンドギャップは約10eVである。このような波長の光子は二酸化ケイ素に吸収されないように思われるが、シリコン表面に成長した二酸化ケイ素は、シリコンとの界面に必ずある程度のダングリングボンドを有する。これは、二酸化ケイ素の構造がシリコン結晶の構造に完全には合致することができないためである。さらに、単一の二酸化物はアモルファスであるため、ダングリングボンドがおそらく材料内にも存在する。実際には、酸化物内、および下にある半導体との界面に、無視できないほどの密度の欠陥と不純物があり、DUV波長、特に波長が約220nmより短い光子を吸収する可能性がある。さらに、高い放射束密度の下では、2つの高エネルギー光子が非常に短い時間間隔(ナノ秒またはピコ秒)内に同じ場所付近に到着する可能性があり、これにより、立て続けに発生する2つの吸収事象または二光子吸収によって、電子が二酸化ケイ素の伝導帯に励起される可能性がある。
[0008]検査、計測、および関連する用途に使用されるセンサのさらなる要件は、高感度である。上述のように、高い信号対雑音比が必要となる。センサが入射光子の大部分を信号に変換しない場合、より効率的なセンサを備えた検査または計測システムと比較して、同じ検査または測定速度を維持するためには、より高輝度の光源が必要になる。より高輝度の光源は、機器の光学系と検査または測定中の試料をより高い光度にさらし、時間の経過とともに損傷または劣化を引き起こす可能性がある。より高輝度の光源はまた、より高価であり、または特にDUVおよびVUV波長では入手できない場合がある。
[0009]DUVおよびVUVの波長は、シリコンによって強く吸収される。このような波長は、シリコン表面から約10nmまたは数十nm以内で大部分が吸収される可能性がある。DUVまたはVUV波長で動作するセンサの効率は、吸収された光子によって生成される電子のどのぐらいの部分が、その電子が再結合する前に回収されることができるかに依存する。二酸化ケイ素は、低密度の欠陥を有するシリコンとの高品質の界面を形成できる。反射防止被膜に一般的に使用されるものの多くを含む他の大半の材料は、シリコン上に直接堆積されると、シリコン表面に非常に高密度の電気的欠陥をもたらす。シリコン表面の高密度の電気的欠陥は、可視波長で動作することを目的としたセンサにとって問題ではない可能性がある。そのような波長は通常、吸収される前にシリコン内に約100nm以上進行する可能性があり、したがって、シリコン表面の電気的欠陥によってほとんど影響を受けないためである。ただし、DUVおよびVUVの波長はシリコン表面の非常に近くで吸収されるため、表面の電気的欠陥および/または表面の層(複数可)内の捕獲電荷により、生成された電子のかなりの部分が、シリコン表面またはその付近で再結合して失われ、低効率センサとなってしまう。
[0010]Chernらの米国特許第9,496,425号および第9,818,887号は、画像センサ構造、および少なくとも画像センサの露出された裏面に堆積されたボロン層を含む画像センサを製造する方法を記載している。約400から450℃の範囲および約700から800℃の範囲を含む、ボロンの堆積のための異なる範囲の温度が開示されている。発明者らは、約600℃から約900℃の間の堆積温度など、ボロンのより高い堆積温度の1つの利点は、そのような温度でボロンがシリコンに拡散し、非常に薄い、高濃度のp型ドープシリコン層を感光性裏面に提供することであると見出した。このp型ドープシリコン層は、表面近くに静電界を生成し、これが電子を表面からシリコン層内に加速するため、DUVおよびVUV放射に対して高い量子効率を確保するために重要である。また、p型シリコンはシリコンの裏面の導電性を高める。これは、センサの表面の電極での信号の切り替えによって引き起こされる接地電流にリターンパスが必要なため、画像センサの高速動作にとって重要である。
[0011]ただし、450℃はCMOSデバイスの製造に一般的に使用されるアルミニウムや銅などの金属の融点に近いため、450℃を超える処理温度は従来のCMOS回路を含む半導体ウエハでは使用できない。450℃を超えるような高温では、これらの金属は膨張し、柔化し、剥離する可能性がある。さらに、高温では、銅はシリコンを介して容易に拡散する可能性があり、これによりCMOS回路の電気的特性が変化する。何らかの金属が堆積する前にウエハを薄くすると、600から900℃の温度で、前述の特許に記載されているように裏面にボロン層を堆積させることができ、ボロン層の堆積の間またはその後にボロンが表面に拡散する。続いて、表面に金属相互接続部を形成することができる。ウエハの画像センサ領域が、例えば約25μm以下の厚さに薄化された後、薄化された領域は著しく歪む可能性があり、数十ミクロン以上の凹凸の非平坦性を有する可能性がある。したがって、数ミクロン以上の幅など、比較的幅の広い金属相互接続ラインとビアを使用して、非平坦性によって引き起こされるずれにもかかわらず、ラインとビアが確実に接続されるようにする必要がある。このような幅の広い金属相互接続部とビアは、これらのラインとビアに関連する単位面積当たりの静電容量を増加させる。さらに、幅の広い相互接続部とビアにより、約100万ピクセル以上の大きなエリアセンサ上の全ての信号を相互接続することが困難または不可能になる可能性がある。場合によっては、金属相互接続部を一緒に接続するためにポリシリコンジャンパが必要になることがあるが、ポリシリコンはどの金属よりも抵抗率がはるかに高いため、このようなジャンパを使用すると、センサの最大動作速度が制限される可能性がある。
[0012]したがって、劣化することなく上記の不利の一部または全てを克服しつつ、高エネルギー光子を効率的に感知することができる画像センサが必要とされている。特に、比較的平坦なウエハ(すなわち、約10μm以下の平坦度)上に金属相互接続部の形成を可能にしながら、その裏面にボロン層およびボロンドーピングを有する裏側薄化画像センサを製造する方法によって、より微細な設計ルール(0.35μmまたはこれより微細なプロセスに対応する設計ルールなど)の使用が可能になるであろう。そのような方法は、浮遊拡散などの重要な機能構造に接続するより狭い金属線を可能にし、より小さな浮遊拡散容量およびより高い電荷対電圧変換比を可能にするであろう。より微細な設計ルールにより、センサの単位面積当たりの相互接続ラインが増え、画像センサの回路を接続する柔軟性が向上する。
[開示の概要]
[0013]画像センサ、およびDUVおよび/またはVUVを撮像するための高量子効率を有する画像センサを製造する方法が記載される。これらの画像センサは、高束のDUVおよびVUV放射下で長寿命動作が可能である。これらの方法は、半導体(好ましくはシリコン)ウエハ上に感光能動および/または受動回路素子を層で形成する処理ステップと、センサの電気素子間の金属相互接続部を形成する処理ステップとを含む。これらの画像センサは、ボロン層で被覆された裏面と、ボロン層に直接隣接する高濃度にドープされたp型シリコン層とを有しながら、微細な金属相互接続部とビア(約0.35μmまたはこれより微細な設計ルールに準拠するものなど)を備えることができる。金属相互接続部は、タングステン、モリブデン、または他の耐熱(すなわち、高融点)金属を含んでもよい。一実施形態では、金属相互接続部は、耐熱金属のみからなってもよい。一実施形態では、金属相互接続部を形成するために用いられる堆積プロセスは、金属層内の応力を低減するように構成されてもよい。一実施形態では、アルミニウムまたは銅を含む追加の金属相互接続部は、耐熱金属を含む金属相互接続部の上に追加され、それらに接続されてもよい。
[0014]画像センサを製造する例示的な方法は、基板上にエピタキシャル層を形成するステップと、エピタキシャル層上にゲート層を形成するステップであって、ゲート層は、二酸化ケイ素および窒化ケイ素などの誘電材料の1つ以上の層を含む、該形成するステップと、ポリシリコンおよび誘電材料を有するゲート層上に回路素子を形成するステップと、これらの回路素子の少なくとも一部を一緒に接続するための第1の金属ビアおよび第1の金属相互接続部を形成するステップと、基板を薄化してエピタキシャル層の少なくとも一部を露出させるステップと(露出したエピタキシャル層は、本明細書では、半導体膜と呼ばれる)、エピタキシャル層の露出部分に純ボロン層を直接形成するステップと、ボロン層の形成の間および/または形成の後にボロンをエピタキシャル層に拡散させるステップと、任意で、ボロン層の面に1つ以上の反射防止層を直接形成するステップと、を含む。本明細書で使用される場合、「回路素子」という用語は、電荷結合素子およびフォトダイオード等の感光デバイス、ならびにトランジスタ、ダイオード、抵抗器、およびコンデンサ等の他の半導体素子、ならびにこれらの間の電気的相互接続部(しばしば相互接続部と呼ばれる)を指す。これらの回路素子は、フォトリソグラフィ、堆積、エッチング、イオン注入法、およびアニールを含むがこれらに限定されない標準的な半導体製造工程を用いて形成される。第1の金属相互接続部は、タングステンまたはモリブデンなどの耐熱金属を含む。試料(例えばウエハ)の薄化は、イオンエッチング、化学エッチング、および/または研磨を用いて実施できる。とりわけ、この薄化により、裏面に入射する光に対する画像センサの感度が増加され得る。ボロン層上には反射防止被膜を形成してもよい。この方法は、純ボロン層を形成するステップの後、第1の相互接続部の上に、これと接続される、1つ以上の追加の相互接続層を形成するステップをさらに含んでもよい。追加の相互接続層は、約450℃を超える温度にさらされる必要がないことから、アルミニウムまたは銅などの金属を含んでもよい。追加の相互接続層は、1μmプロセスまたはそれより粗いプロセスに対応する設計ルールに従って製造され、これによって、10μm以上の非平坦性を有し得る表面上に形成されてもよい。
[0015]画像センサを製造する別の方法は、基板上にエピタキシャル層を形成するステップと、その後、エピタキシャル層上に回路素子を形成するステップとを含む。このステップは、金属相互接続部を形成する工程を含む。金属相互接続部は、タングステンやモリブデンなどの耐熱金属を含んでもよく、またはこれらのみからなってもよい。回路素子上に保護層を形成してもよい。回路素子を含む表面にハンドルウエハを接着してもよい。次に、基板を薄化して、エピタキシャル層の少なくとも一部を露出させる。上述のように、この薄化により、裏面に入射する光に対する画像センサの感度が増加され得る。薄化工程で露出したエピタキシャル層の表面に純ボロン層が形成される。純ボロン層は、600℃より高い温度で堆積されてもよいし、または堆積後に600℃より高い温度に上げて、ボロンをエピタキシャル層に拡散させてもよい。ボロン層上には反射防止被膜を形成してもよい。
[0016]DUVおよび/またはVUV放射用の高量子効率および長寿命動作を有する画像センサについて説明する。これらの画像センサは、裏側から薄化されるため、これらは、画像センサの裏側に入射する放射に非常に敏感である(ここで、画像センサは、裏面照光される)。画像センサは、タングステンやモリブデンなどの耐熱金属を含む、またはこれらのみからなる、第1の金属相互接続部を備える。エピタキシャル層の裏面上に直接堆積されるのは、高純度アモルファスボロンの薄い(例えば約2nmと約20nmとの間の厚さの)層である。一実施形態では、1つ以上の追加の材料層がボロン上に被覆されてもよい。画像センサへの所望の波長の伝送を増加させ、および/またはボロン層を損傷から保護するために、各層の厚みおよび材料が選択され得る。一実施形態では、第2の金属相互接続部が、第1の金属相互接続部の上に形成されて、これと接続されてもよい。第2の金属相互接続部は、アルミニウムおよび銅のうちの1つを含んでもよく、1μmまたはより粗い設計ルールに従って配置されてもよい。
[0017]上述の画像センサは、CCD(電荷結合素子)またはCMOS(相補型金属酸化膜半導体)技術を使用して製造されてもよい。画像センサは、二次元エリアセンサまたは一次元アレイセンサであってもよい。
[0018] 本発明に従って製造された例示的な画像センサを示す断面図である。 [0019] 画像センサを製造するための例示的な方法を示している。 画像センサを製造するための例示的な方法を示している。 [0020] 図2および図3を参照して説明される方法に供されたウエハの例示的な断面を示している。 図2および図3を参照して説明される方法に供されたウエハの例示的な断面を示している。 図2および図3を参照して説明される方法に供されたウエハの例示的な断面を示している。 図2および図3を参照して説明される方法に供されたウエハの例示的な断面を示している。 図2および図3を参照して説明される方法に供されたウエハの例示的な断面を示している。 図2および図3を参照して説明される方法に供されたウエハの例示的な断面を示している。 図2および図3を参照して説明される方法に供されたウエハの例示的な断面を示している。 図2および図3を参照して説明される方法に供されたウエハの例示的な断面を示している。 図2および図3を参照して説明される方法に供されたウエハの例示的な断面を示している。 [0021] 画像センサ、シリコンインターポーザ、および他の電子機器を組み込んだ例示的検出器アセンブリを示している。
[図面の詳細な説明]
[0022]図1は、本発明の例示的な実施形態による深紫外線(DUV)放射、真空紫外線(VUV)放射、極紫外線(EUV)放射、または荷電粒子を感知するように構成された画像センサ100の一部を示す断面側面図である。画像センサ100は、半導体膜101の上(第1の)面101Uに形成された回路素子103と、回路素子103上の誘電材料層112に配置された第1の金属相互接続部110と、半導体膜101の下(第2の)面101Lに形成された純ボロン層106とを備える。
[0023]一実施形態では、半導体膜101は、10μmから40μmの範囲の厚さT1および約1013cm−3から1014cm−3の範囲のp型(ボロン)ドーパント濃度を有する、低濃度にp型ドープされたエピタキシャルシリコンの層を備える。
[0024]回路素子103は、半導体膜101の上(第1の)面101Uに(すなわち、その中および上に)形成されるセンサデバイス(例えば、フォトダイオードなどの感光デバイス)および関連する制御トランジスタを備える。図示の例示的な実施形態では、回路素子103は、上面101Uから半導体膜101の対応する部分内へ延びる、間隔を置いて配置されたn+ドープ拡散領域103−11、103−12および103−12、ならびにゲート酸化物層を介在させることにより、それぞれ上面101Uから分離されている多結晶シリコン(ポリシリコン)ゲート構造103−21および103−22を備える。回路素子103を形成する拡散領域およびゲート構造の図示された構成は、例示的な回路素子構造を説明する目的でのみ提供され、機能的センサデバイスを表すこと、または添付の特許請求の範囲を制限することを意図するものではない。
[0025]一実施形態では、純ボロン層106は、純ボロン層106が2nmから20nmの範囲の厚さT2を有するように、以下に記載される技術を用いて形成される。一実施形態では、純ボロン層106は、80%以上のボロン濃度を有し、相互拡散されたシリコン原子および酸素原子が主に残りの20%以下を構成する。
[0026]第1の態様によれば、画像センサ100は、下面101Lから半導体膜101内へ延びる高濃度のp型ドープ領域102(すなわち、p型ドープ領域102は、純ボロン層106に直接隣接して配置されている)を備える。一実施形態では、p型ドープ領域102は、純ボロン層106の形成の間または形成の直後に、下面101Lを介したボロン原子の拡散によって形成されるボロンドープ領域である。好ましくは、p型ドープ領域102は、下面101Lに直接隣接して最大ドーパント濃度を有し、このドーパント濃度は、下面101Lから半導体膜101内部に向かう距離とともに減少するものである。例示的な実施形態では、p型ドープ領域102は下面101Lに直接隣接して1019cm−3を超える公称p型ドーピング濃度を有し、その下面から10nmから50nmの間の距離にわたって、半導体膜101と同様のドーパント濃度(例えば、1013cm−3から1014cm−3の範囲のドーパント濃度)まで減少する。
[0027]第2の態様によれば、第1の金属相互接続部110および対応する第1の金属ビア115は、以下で明らかになる理由により、耐熱金属(例えば、タングステンおよびモリブデンの一方または両方)を含む。例示的な実施形態では、第1の金属相互接続部は、3つのメタライゼーションプロセスM1、M2、およびM3の一部としてそれぞれ形成される金属線110−1、110−2、および110−3を備え、これには、第1の金属相互接続部110が回路素子103の関連部分に電気的に接続されるように第1の金属ビア115を形成することも含む。第1の金属相互接続部110および第1の金属ビア115の構成は、例示の目的で任意に示され、限定することを意図するものではない(例えば、第1の金属相互接続部110は、任意の数の金属層で形成されてもよい)。
[0028]別の特定の実施形態では、任意の反射防止被膜108が、純ボロン層106の下(外向き)面106Lに堆積され、純ボロン層106の厚さT2は、3nmから10nmの範囲である。
[0029]一実施形態では、画像センサ100は、(第1の)金属相互接続部110が保護層104と半導体膜101との間に完全に配置されるように、誘電体層112上に形成される任意の保護層104を備える。代替の実施形態では、保護層104は、ハンドラウエハの一部によって実装される(例えば、単結晶シリコンまたはガラス)か、または誘電体層112の上に取り付けられた/形成された保護材料(例えば、二酸化ケイ素、窒化ケイ素、または酸窒化ケイ素)の層によって実装される。一実施形態では、保護層104は、0.5μmから3μmの範囲の厚さを有する。他の実施形態では、保護層104は完全に省略される。
[0030]一実施形態では、1つ以上の第2の金属相互接続部120が、第1の金属相互接続部110上の第2の誘電体層122内に形成され、第2の金属ビアを介して回路素子103に結合される。例えば、第2の金属相互接続部120−1が、第2の金属ビア125−1を介して回路素子103の一部に直接接続され、第2の金属相互接続部120−2が、第2の金属ビア125−2および第1の金属相互接続部110−2を介して回路素子103に電気的に接続される。一実施形態では、第2の金属相互接続部120は、アルミニウムおよび銅の少なくとも1つを含む。一実施形態では、第2の金属相互接続部120は、保護層104の上に配置され、第2の金属ビア125−1および125−2は、保護層104にわたって延びる。
[0031]図2および図3は、画像センサを製造するための例示的な技術200を示している。この実施形態では、回路素子は、リソグラフィ、堆積、イオン注入法、アニール、およびエッチングを含む標準的な半導体処理ステップを用いて、ステップ201で作成することができる。一実施形態では、CCDおよび/またはCMOSセンサ素子およびデバイスもまた、ステップ201で作成されてもよい。これらの回路素子は、ウエハの表側表面のエピタキシャル(エピ)層に作成される。好適な実施形態では、エピタキシャル層は、約10μmから40μmの厚さである。エピタキシャル層は低濃度でp型ドープされている(p−)。一実施形態では、エピタキシャル層の抵抗率は、約10から1000Ωcmの間である。第1の金属相互接続部は、タングステン、モリブデン、または他の耐熱金属を使用してステップ201で作成されるため、この金属相互接続部は後続のステップ、特にステップ209および/または211での高温(約600℃を超える温度など)に耐えることができる。好ましくは、第1の金属相互接続部の組成およびこれらの相互接続部を堆積するために用いられるプロセスは、金属内の応力を低減するように選択されてもよい。低減応力タングステンを堆積するための堆積プロセスは、例えば、Schmitzらの「The Dependence of the Stress of Chemical Vapor Deposited Tungsten Films on Deposition Parameters」,J.Electrochem.Soc.,141,pp.843−848(1994)に記載されている。この文献は参照により本明細書に引用される。
[0032]ステップ203において、ウエハの表側表面を保護することができる。この保護は、ステップ201の間に形成された回路素子の上に1つ以上の保護層を堆積することを含んでもよい。1つ以上の保護層は、二酸化ケイ素、窒化ケイ素、または他の材料を含んでもよい。この保護はさらに、または上記に代えて、シリコンウエハ、石英ウエハ、または他の材料で作られたウエハなどのハンドリングウエハに、上記ウエハを取り付けることを含み得る。ハンドリングウエハは、回路素子に接続するためのタングステンまたはモリブデンなどの耐熱金属を含むビアを備えてもよい。
[0033]ステップ205は、少なくともアクティブセンサ領域内のエピタキシャル層を露出させるように、ウエハを裏側から薄化することを含む。このステップは、研磨、エッチング、またはその両方が含まれてもよい。一部の実施形態では、ウエハ全体が裏側薄化される。他の実施形態では、アクティブセンサ領域のみがエピタキシャル層まで薄化される。
[0034]ステップ207は、ボロン堆積の前に裏側表面を洗浄および準備することを含む。この洗浄中に、自然酸化物および有機物や金属を含む汚染物質を裏側表面から除去する必要がある。一実施形態では、この洗浄は、希釈HF溶液を用いて、またはRCA洗浄プロセスを用いて実施することができる。洗浄の後および準備の間、ウエハをマランゴニ乾燥技術または類似の技術を用いて乾燥し、表面を乾燥させてウォーターマークがない状態にすることができる。
[0035]好適な実施形態では、ウエハは、洗浄後の自然酸化物の再成長を最小にするため、ステップ207から209の間、(例えば、乾燥した窒素を用いて)制御された雰囲気中で保護される。
[0036]ステップ209では、ボロンは、ウエハの裏側表面に堆積される。1つの好適な実施形態では、この堆積は、ジボランおよび水素ガスの混合物を用いて約600から900℃の温度で行うことができ、それによって高純度のアモルファスボロン層が作成される。代替の実施形態では、この堆積は、窒素で希釈されたジボラン、またはジボラン−水素混合物を使用して行われてもよい。堆積したボロン層の厚さは、センサの用途によって異なる。典型的には、ボロン層の厚さは、約2nmから20nmの間、好ましくは約3nmから10nmの間である。最小厚さは、ピンホールのない均一な膜の必要性により制限され、一方、最大厚みは、ボロンによる光子または所望の荷電粒子の吸収と、ウエハを引き上げられた温度に維持することができる最長時間とに依存する。
[0037]ステップ209では、ウエハは、水素ガスなどの還元環境において、高温で数分間保持され得る。好適な実施形態では、ウエハは、約800℃から850℃の温度で約1から4分間保持され得る。この高温により、ステップ207の後に再成長した可能性のある自然酸化物層を除去できる。
[0038]ボロン堆積に関するさらなる詳細は、Sarubbiらの「Chemical vapor deposition of a−boron layers on silicon for controlled nanometer−deep p−n junction formation」,J.Electron.Material,vol.39,pp.162−173,2010に見出すことができ、この文献は参照により本明細書に引用される。
[0039]ステップ211では、ウエハは、ボロンがエピタキシャル層の表面に拡散する(例えば、これによって、表面101Lのすぐ内側の膜101にボロンドープ領域(ドープ層)102を形成する)ことを可能にするために、約600℃から約900℃の間の温度などの高温に数分間、例えば、約1から10分の時間の間保持される。ステップ211で使用される温度は、ボロン層を堆積するために209で使用される温度と同様か、またはそれより高くてもよい。好ましくは、ステップ211は、窒素、アルゴンまたは他の不活性ガスの環境で実施される。
[0040]ステップ211の後、他の層がボロン層の上に堆積されてもよい。これらの他の層には、二酸化ケイ素、窒化ケイ素、酸化アルミニウム、二酸化ハフニウム、フッ化マグネシウム、およびフッ化リチウムなどの1つ以上の材料から構成される反射防止被膜を含んでもよい。これらの他の層は、ルテニウム、タングステン、またはモリブデンなどの金属を含む薄い保護層を含んでもよい。1つ以上のこれらの他の層を、(原子層堆積)ALDを用いて堆積してもよい。これらの層の堆積にALDプロセスを用いることの利点は、ALDプロセスによって典型的に、堆積される層(複数可)の厚さの非常に精密な(単一の単分子層)制御が可能になることである。代替の実施形態では、他の層は、図3に示されるステップの1つなど、後の処理ステップの1つの後に、ボロン層の上に堆積されてもよい。
[0041]一実施形態では、保護表側層および/またはハンドリングウエハは、少なくとも一部の回路素子および/または第1の金属相互接続部を露出させるために、ステップ213で部分的または完全に除去されてもよい。
[0042]一実施形態では、第2の金属相互接続部は、ウエハの表側に製造され、ステップ215で第1の金属相互接続部および/または回路素子に接続されてもよい。第2の金属相互接続部は後続の処理ステップ中に高温(450℃を超える温度など)にさらされない可能性があるため、第2の金属相互接続部は、銅またはアルミニウムを含む任意の便利な金属を含んでもよい。第2の金属相互接続部は、電気めっき、無電解めっき、化学蒸着(CVD)、ALD、または物理蒸着(PVD)を含むがこれらに限定されない任意の適切な処理技術で堆積されてもよい。ステップ215では、ステップ201よりもウエハの平坦性が低くなる可能性があるため、第2の金属相互接続部のパターンは、比較的大きな設計ルール(1μmまたはより粗いプロセスに対応する設計ルールなど)に従ってもよく、マスクアライナ、コンタクトマスク、または他の比較的低解像度のリソグラフィプロセスによって印刷されてもよい。第2の金属相互接続部は、選択された第1の金属相互接続部と並列に伝導経路を形成することができ、これによって、これらの相互接続部の全体的な抵抗を低減し、第1の金属相互接続部は耐熱金属の使用により比較的高い抵抗を持つ可能性があることから、第1の金属相互接続部のみによって可能であるよりも画像センサの高速動作を可能にする。
[0043]ステップ223では、ボンディングパッドまたはバンプパッドなどの外部接続部が、ウエハ上に製造され、例えば、第1の金属相互接続部または第2の金属相互接続部に接続することによって、回路素子に電気的に接続される。ステップ223で形成された外部接続部は、ウエハの表側、裏側、または両側であってもよい。一実施形態では、外部接続部を第1の金属相互接続部または第2の金属相互接続部に接続することは、ハンドリングウエハ、保護表側層、またはウエハにビアを作成、開放、または露出させることを含んでもよい。一実施形態では、シリコン貫通ビアを用いて、ウエハの裏側の外部接続部を、ウエハの表側の第1の金属相互接続部または第2の金属相互接続部に接続する。
[0044]ステップ225では、得られた構造は、適切なパッケージでパッキングされてもよい。パッキングのステップは、基板へのデバイスのフリップチップボンディングまたはワイヤボンディングを含んでもよい。パッケージは、所望の波長を伝送するウィンドウを含んでもよく、または真空シールへの界面のためのフランジまたはシールを含んでもよい。
[0045]図4Aから図4Iは、方法200(図2および図3)に供されたウエハの例示的な断面を示している。図4Aは、基板401の表側に形成されたエピタキシャル(エピ)層402を示している。エピ層402は、好ましくは、p−エピ層である。一実施形態では、エピ層の抵抗率は、約10から1000Ωcmの間である。
[0046]図4Bは、エピ層上に形成された第1の金属相互接続部を含む様々な回路素子403を示している(ステップ201)。第1の金属相互接続部410は、基板401がまだ数百ミクロンの厚さであり、したがってひどく歪んでいない間に形成されるため、第1の金属相互接続部410は、通常のサブミクロンCMOS処理技術を用いて形成でき、高密度金属相互接続部の複数の層を備えてもよい。第1の金属相互接続部410は、タングステンまたはモリブデンなどの耐熱金属を含む。一実施形態では、第1の金属相互接続部410は、耐熱金属のみからなる。一実施形態では、回路素子403への接続を可能にするため、画像センサアレイの1つ以上の縁部の周りに複数のシリコン貫通ビア(TSV)403Aが作成される。
[0047]図4Cは、回路素子403の上に取り付けられた支持ウエハまたはハンドリングウエハ404を示している(ステップ203)。シリコン貫通ビアが示されているが、図面を過度に複雑化しないようにラベリングされていないことに留意されたい。代替の実施形態では、支持ウエハまたはハンドリングウエハ404の代わりに、またはそれに加えて、保護層を使用することができる。一実施形態(不図示)では、回路素子403への接続を可能にするため、ビアがウエハまたは層404に形成される。
[0048]図4Dは、基板401が裏側薄化されて(ステップ205)、エピ層402の裏側表面(すなわち、回路素子403が形成される側の反対側の表面)の一部または全部を露出した後のウエハを示している。基板401が完全に除去される場合、エピ層402が半導体膜を形成する。図4Dに示されるように、自然酸化物402Aが、裏側薄化によって露出されたエピ層402の表面上に形成し得る。
[0049]図4Eは、裏側表面の洗浄および準備(ステップ207)後のウエハを示している。
[0050]図4Fは、エピ層402の裏側表面に形成された(ステップ209)後の純ボロン層406を示している。ボロンがエピ層に拡散すると、ボロン層に隣接するエピ層の表面に薄い(数ナノメートルから数十ナノメートル)高濃度にp型ドープされたシリコン層(不図示)が作成される(ステップ211)。
[0051]図4Gは、純ボロン層406の上に堆積された1つ以上の任意の反射防止層または保護層408を示している。これらの層の少なくとも1つは、ALDプロセスを用いて堆積されてもよい。
[0052]図4Hは、保護層または支持ウエハまたはハンドリングウエハ404が完全にまたは部分的に除去され(ステップ213)、第2の金属相互接続部(409)が表側に製造された(ステップ215)、一実施形態のウエハを示す。図2および図3を参照して上述したように、第2の金属相互接続部409は、アルミニウムまたは銅などの金属を含んでもよく、回路素子403に含まれる第1の金属相互接続部に使用されるものよりもはるかに粗い設計ルールに従ってパターン化されてもよい。
[0053]図4Iは、適切なパターニング、エッチング、および堆積ステップによって金属パッド407aおよび407bを製造した(ステップ223)後のウエハを示している。パッド407aは、表側に製造され、例えば、第2の金属相互接続部409に電気的に接続する。パッド407bは裏側に製造されており、TSV403Aに電気的に接続されている。図2および図3を参照して上述したように、画像センサは、表面のみ(パッド407aなど)、裏面のみ(パッド407bなど)、または表面と裏面の両方にパッドを備えてもよい。任意の第2の金属相互接続部が存在しない場合、金属パッドは回路素子403に直接電気的に接続されることに留意されたい。
[0054]上記の例は、本明細書に開示される本発明の範囲を限定することを意味するものではない。それらは単に、耐熱金属を含む、またはそれからなる第1の金属相互接続部をどのように用いて、後にその感光面がボロン層で被覆される画像センサを製造することができるかの例示を意味するのみである。第1の金属相互接続部は耐熱金属を含むため、ボロンの堆積および拡散の間の高温に耐えることができる。
[0055]図5は、本発明の特定の実施形態による、画像センサ504、シリコンインターポーザ502、および他の電子機器を組み込んだ例示的な検出器アセンブリ500を示している。
[0056]本発明の一態様では、検出器アセンブリ500は、インターポーザ502の表面に配置された1つ以上の感光センサ504を備えてもよい。一実施形態では、アセンブリ500の1つ以上のインターポーザ502は、シリコンインターポーザを含み得るが、これに限定されない。本発明のさらなる態様では、アセンブリ500の1つ以上の感光センサ504は、裏側薄化され、本明細書に記載のようにボロン層およびボロン層に隣接する高濃度ドープ層を含んで裏面照射のためにさらに構成される。
[0057]本発明の別の態様では、アセンブリ500の様々な回路素子を、インターポーザ502上に配置してもよく、またはインターポーザ502に組み込んでもよい。一実施形態では、1つ以上の増幅回路(例えば、電荷変換増幅器)(不図示)を、インターポーザ502上に配置してもよく、またはインターポーザ502に組み込んでもよい。別の実施形態では、1つ以上の変換回路508(例えば、アナログ−デジタル変換回路、すなわちデジタイザ508)を、インターポーザ502上に配置してもよく、またはインターポーザ502に組み込んでもよい。別の実施形態では、1つ以上のドライバ回路506を、インターポーザ502上に配置してもよく、またはインターポーザ502に組み込んでもよい。例えば、1つ以上のドライバ回路506は、タイミング/シリアル駆動回路を含んでもよい。例えば、1つ以上のドライバ回路506は、クロックドライバ回路またはリセットドライバ回路を含んでもよいが、これらに限定されない。別の実施形態では、1つ以上のデカップリングコンデンサ(不図示)を、インターポーザ502上に配置してもよく、またはインターポーザ502に組み込んでもよい。さらなる実施形態では、1つ以上のシリアル伝送器(図5では不図示)を、インターポーザ502上に配置してもよく、またはインターポーザ502に組み込んでもよい。
[0058]本発明の別の態様では、センサ504に物理的支持を提供するために、1つ以上の支持構造を、感光アレイセンサ504の底面とインターポーザ502の上面との間に配置してもよい。一実施形態では、センサ504に物理的支持を提供するために、複数のはんだボール516を、感光アレイセンサ504の底面とインターポーザ502の上面との間に配置してもよい。センサ504の画像化領域は、外部の電気的接続部を含まない場合があるが、センサ504の裏側薄化は、センサ504をますます可撓化させる原因になることが、ここに認識される。このように、センサ504の画像化部分を補強する方法でセンサ504をインターポーザ502に接続するため、はんだボール516を利用してもよい。代替の実施形態では、センサ504に物理的支持を提供するために、アンダーフィル材料を、感光アレイセンサ504の底面とインターポーザ502の上面との間に配置してもよい。例えば、エポキシ樹脂を、感光アレイセンサ504の底面とインターポーザ502の上面との間に配置してもよい。
[0059]本発明の別の態様では、インターポーザ502および様々な追加の回路(例えば、増幅回路、ドライバ回路506、デジタイザ回路508など)が、基板510の表面上に配置される。さらなる態様では、基板510は、高い熱伝導率を有する基板(例えば、セラミック基板)を含む。この点に関して、基板510は、物理的支持をセンサ504/インターポーザ502アセンブリに提供する一方、画像化センサ504および種々の他の回路(例えば、デジタイザ506、ドライバ回路508、増幅器等)から効率的に熱を伝導除去するための手段をアセンブリ500に提供するように構成される。基板は、当該技術分野で公知の任意の硬質の高熱伝導率の基板材料を含み得ることがここに認識される。例えば、基板510は、セラミック基板を含み得るが、これに限定されない。例えば、基板510は、窒化アルミニウムを含み得るが、これに限定されない。
[0060]別の実施形態では、基板510は、ソケットまたは下にあるプリント回路基板(PCB)へのインタフェースを提供するように構成されてもよい。例えば、図5に示されるように、基板510は、相互接続部512を介して、インターポーザ502とソケットまたはPCBとの間の相互接続を提供してもよい。基板510は、下にあるPCBに作動的に結合され、さらに、その全てが本発明の範囲内であると解釈される様々な方法でソケットまたはPCBに電気的に結合され得ることを、当業者は認識するであろう。
[0061]上記の本発明の構造および方法の様々な実施形態は、本発明の原理の例示にすぎず、本発明の範囲を上述の特定の実施形態に限定することを意図するものではない。例えば、追加のステップが、図2および図3に示されるフローチャートに追加されてもよいし、または示されるステップのいくつかは、示されるものとは異なる順序で実行されてもよい。したがって、本発明は、以下の特許請求の範囲およびそれらの均等物によってのみ制限される。
[0024]回路素子103は、半導体膜101の上(第1の)面101Uに(すなわち、その中および上に)形成されるセンサデバイス(例えば、フォトダイオードなどの感光デバイス)および関連する制御トランジスタを備える。図示の例示的な実施形態では、回路素子103は、上面101Uから半導体膜101の対応する部分内へ延びる、間隔を置いて配置されたn+ドープ拡散領域103−11、103−12および103−1、ならびにゲート酸化物層を介在させることにより、それぞれ上面101Uから分離されている多結晶シリコン(ポリシリコン)ゲート構造103−21および103−22を備える。回路素子103を形成する拡散領域およびゲート構造の図示された構成は、例示的な回路素子構造を説明する目的でのみ提供され、機能的センサデバイスを表すこと、または添付の特許請求の範囲を制限することを意図するものではない。
[0059]本発明の別の態様では、インターポーザ502および様々な追加の回路(例えば、増幅回路、ドライバ回路506、デジタイザ回路508など)が、基板510の表面上に配置される。さらなる態様では、基板510は、高い熱伝導率を有する基板(例えば、セラミック基板)を含む。この点に関して、基板510は、物理的支持をセンサ504/インターポーザ502アセンブリに提供する一方、画像化センサ504および種々の他の回路(例えば、ドライバ回路506、デジタイザ508、増幅器等)から効率的に熱を伝導除去するための手段をアセンブリ500に提供するように構成される。基板は、当該技術分野で公知の任意の硬質の高熱伝導率の基板材料を含み得ることがここに認識される。例えば、基板510は、セラミック基板を含み得るが、これに限定されない。例えば、基板510は、窒化アルミニウムを含み得るが、これに限定されない。

Claims (21)

  1. 深紫外線(DUV)放射、真空紫外線(VUV)放射、極紫外線(EUV)放射、および荷電粒子の少なくとも1つを感知するための画像センサであって、
    半導体膜であって、前記半導体膜の第1の面に形成された回路素子および前記回路素子上に形成された第1の金属相互接続部を備える前記半導体膜と、
    前記半導体膜の第2の面に形成された純ボロン層と、を備える画像センサであって、
    前記半導体膜が、第2の面から前記半導体膜内へ延びるボロンドープ領域であって、前記純ボロン層に直接隣接して配置される前記ボロンドープ領域を含み、
    前記第1の金属相互接続部が耐熱金属を含む、
    画像センサ。
  2. 前記半導体膜が、10μmから40μmの範囲の厚さT1を有するエピタキシャル層を含む、請求項1に記載の画像センサ。
  3. 前記純ボロン層が、2nmから20nmの範囲の厚さT2を有する、請求項1に記載の画像センサ。
  4. 前記画像センサが、前記純ボロン層の外向きの面に堆積された反射防止被膜をさらに備える、請求項1に記載の画像センサ。
  5. 前記回路素子上に形成された保護層をさらに備え、前記保護層は、前記第1の金属相互接続部が前記半導体膜と前記保護層との間に完全に配置されるように形成されている、請求項1に記載の画像センサ。
  6. 前記保護層が、単結晶シリコンおよびガラスの1つ以上を含む、請求項5に記載の画像センサ。
  7. 前記第1の金属相互接続部が、タングステンおよびモリブデンのうちの少なくとも1つを含む、請求項1に記載の画像センサ。
  8. 前記第1の金属相互接続部の上方に配置され、前記回路素子に結合された第2の金属相互接続部をさらに備え、
    前記第2の金属相互接続部が、アルミニウムおよび銅のうちの少なくとも1つを含む、請求項1に記載の画像センサ。
  9. 前記画像センサが、電荷結合素子(CCD)およびCMOS素子のうちの1つを備える、請求項3に記載の画像センサ。
  10. 深紫外線(DUV)放射、真空紫外線(VUV)放射、極紫外線(EUV)放射、および荷電粒子の少なくとも1つを感知するための画像センサであって、
    第1のp型ドーピング濃度を有する半導体膜であって、前記半導体膜の第1の面に形成された回路素子を備える前記半導体膜と、
    前記回路素子の少なくとも1つに接続された第1の金属相互接続部であって、耐熱金属を含む前記第1の金属相互接続部と、
    前記半導体膜の第2の面に形成された純ボロン層と、
    前記純ボロン層に直接隣接して、前記半導体膜に形成されたp型ドープ層と、を備える画像センサであって、
    前記p型ドープ層が、前記第1のp型ドーピング濃度よりも高い第2のp型ドーピング濃度を有する、
    画像センサ。
  11. 前記純ボロン層の厚さが2nmから20nmの間であり、
    前記半導体膜の厚さが10μmから40μmの間である、
    請求項10に記載の画像センサ。
  12. 前記画像センサが反射防止層または保護層をさらに備え、
    前記純ボロン層の前記厚さが3nmから10nmの間である、請求項11に記載の画像センサ。
  13. 前記第1の金属相互接続部が、タングステンおよびモリブデンのうちの少なくとも1つを含む、請求項11に記載の画像センサ。
  14. 前記画像センサが、前記第1の金属相互接続部の上方に配置され、前記回路素子に結合された第2の金属相互接続部をさらに備え、
    前記第2の金属相互接続部が、アルミニウムおよび銅のうちの少なくとも1つを含む、請求項11に記載の画像センサ。
  15. 画像センサを製造する方法であって、
    基板上にエピタキシャル層を形成するステップと、
    前記エピタキシャル層上に回路素子を形成するステップと、
    前記回路素子に接続された耐熱金属を含む第1の金属相互接続部を形成するステップと、
    前記基板を薄化して、前記エピタキシャル層の少なくとも一部を露出させる薄化基板を形成するステップと、
    前記エピタキシャル層の前記露出部分に純ボロン層を形成するステップと、
    前記純ボロン層に隣接して前記エピタキシャル層の前記表面にドープ層を形成するステップと、
    を含む方法。
  16. 前記耐熱金属が、タングステンおよびモリブデンのうちの少なくとも1つを含む、請求項15に記載の方法。
  17. 前記ドープ層を形成するステップが、前記エピタキシャル層を600℃から900℃の間の温度に加熱する工程を含む、請求項15に記載の方法。
  18. 前記方法が、前記基板を薄化するステップの前に、ハンドリングウエハを前記回路素子に取り付けるステップをさらに含む、請求項15に記載の方法。
  19. 前記方法が、前記純ボロン層を形成するステップの前に、前記エピタキシャル層および前記ハンドリングウエハのうちの少なくとも1つにビアを形成するステップをさらに含む、請求項18に記載の方法。
  20. 前記方法が、前記ドープ層を形成するステップの後に、前記ビアを露出するステップをさらに含む、請求項19に記載の方法。
  21. 前記方法が、前記ドープ層を形成するステップの後に、第2の金属相互接続部を形成するステップをさらに含み、
    前記第2の金属相互接続部が、前記回路素子に結合され、
    前記第2の金属相互接続部が、アルミニウムおよびタングステンのうちの少なくとも1つを含む、請求項15に記載の方法。
JP2020570025A 2018-06-18 2019-06-17 裏面照光センサおよびセンサの製造方法 Pending JP2021528847A (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201862686667P 2018-06-18 2018-06-18
US62/686,667 2018-06-18
US16/421,212 US11114489B2 (en) 2018-06-18 2019-05-23 Back-illuminated sensor and a method of manufacturing a sensor
US16/421,212 2019-05-23
PCT/US2019/037549 WO2019245999A1 (en) 2018-06-18 2019-06-17 Back-illuminated sensor and a method of manufacturing a sensor

Publications (1)

Publication Number Publication Date
JP2021528847A true JP2021528847A (ja) 2021-10-21

Family

ID=68840343

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020570025A Pending JP2021528847A (ja) 2018-06-18 2019-06-17 裏面照光センサおよびセンサの製造方法

Country Status (7)

Country Link
US (1) US11114489B2 (ja)
JP (1) JP2021528847A (ja)
KR (1) KR20210020115A (ja)
CN (1) CN112424906B (ja)
DE (1) DE112019003064T5 (ja)
TW (1) TWI809124B (ja)
WO (1) WO2019245999A1 (ja)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210122525A (ko) 2020-04-01 2021-10-12 에스케이하이닉스 주식회사 이미지 센서 장치
KR20210122526A (ko) * 2020-04-01 2021-10-12 에스케이하이닉스 주식회사 이미지 센서 장치
US11848350B2 (en) * 2020-04-08 2023-12-19 Kla Corporation Back-illuminated sensor and a method of manufacturing a sensor using a silicon on insulator wafer
CN116380934A (zh) * 2023-06-02 2023-07-04 中山市美速光电技术有限公司 一种检测超微间距光纤阵列的质检系统

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003243645A (ja) * 2002-02-21 2003-08-29 Sony Corp 固体撮像素子およびその製造方法
TW200739892A (en) * 2006-04-06 2007-10-16 Ibm An image sensor with Cu wiring and method of eliminating high reflectivity interfaces therefrom
US20110291219A1 (en) * 2010-05-25 2011-12-01 Doo-Won Kwon Backside illumination image sensor, method of fabricating the same, and electronic system including the backside illumination image sensor
US8803206B1 (en) * 2012-12-29 2014-08-12 Monolithic 3D Inc. 3D semiconductor device and structure
JP2015520939A (ja) * 2012-04-10 2015-07-23 ケーエルエー−テンカー コーポレイション ボロン層を有する裏面照光センサ
US20160351604A1 (en) * 2015-05-28 2016-12-01 Taiwan Semiconductor Manufacturing Company Ltd. Implant damage free image sensor and method of the same
JP2017509142A (ja) * 2014-01-10 2017-03-30 ケーエルエー−テンカー コーポレイション 裏面照射型センサのための反射防止層
JP2017512990A (ja) * 2014-03-17 2017-05-25 ケーエルエー−テンカー コーポレイション イメージセンサ、検査システム及び製品を検査する方法
JP2017526142A (ja) * 2014-08-29 2017-09-07 ケーエルエー−テンカー コーポレイション 走査型電子顕微鏡および試料を検査およびレビューする方法
JP2017534182A (ja) * 2014-11-13 2017-11-16 アーティラックス インコーポレイテッドArtilux Inc. 光吸収装置
JP2018505544A (ja) * 2014-11-24 2018-02-22 アーティラックス インコーポレイテッドArtilux Inc. 同じ基板上でトランジスタと共に光検出器を製作するためのモノリシック集積技法
JP2018061000A (ja) * 2016-09-30 2018-04-12 ソニーセミコンダクタソリューションズ株式会社 固体撮像素子及び撮像装置

Family Cites Families (200)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3755704A (en) 1970-02-06 1973-08-28 Stanford Research Inst Field emission cathode structures and devices utilizing such structures
US3870917A (en) 1971-05-10 1975-03-11 Itt Discharge device including channel type electron multiplier having ion adsorptive layer
GB1444951A (en) 1973-06-18 1976-08-04 Mullard Ltd Electronic solid state devices
GB1536412A (en) 1975-05-14 1978-12-20 English Electric Valve Co Ltd Photocathodes
US4210922A (en) 1975-11-28 1980-07-01 U.S. Philips Corporation Charge coupled imaging device having selective wavelength sensitivity
NL7611593A (nl) 1976-10-20 1978-04-24 Optische Ind De Oude Delft Nv Werkwijze voor het in een beeldversterkerbuis aanbrengen van een lichtabsorberende, voor elek- tronen doorlaatbare laag.
JPS58146B2 (ja) 1980-10-14 1983-01-05 浜松テレビ株式会社 フレ−ミング管
US4348690A (en) 1981-04-30 1982-09-07 Rca Corporation Semiconductor imagers
US4644221A (en) 1981-05-06 1987-02-17 The United States Of America As Represented By The Secretary Of The Army Variable sensitivity transmission mode negative electron affinity photocathode
US4555731A (en) 1984-04-30 1985-11-26 Polaroid Corporation Electronic imaging camera with microchannel plate
US4760031A (en) 1986-03-03 1988-07-26 California Institute Of Technology Producing CCD imaging sensor with flashed backside metal film
US4853595A (en) 1987-08-31 1989-08-01 Alfano Robert R Photomultiplier tube having a transmission strip line photocathode and system for use therewith
NL8902271A (nl) 1989-09-12 1991-04-02 Philips Nv Werkwijze voor het verbinden van twee lichamen.
US5120949A (en) 1991-01-17 1992-06-09 Burle Technologies, Inc. Semiconductor anode photomultiplier tube
US5144630A (en) 1991-07-29 1992-09-01 Jtt International, Inc. Multiwavelength solid state laser using frequency conversion techniques
US5563702A (en) 1991-08-22 1996-10-08 Kla Instruments Corporation Automated photomask inspection apparatus and method
US5376810A (en) 1992-06-26 1994-12-27 California Institute Of Technology Growth of delta-doped layers on silicon CCD/S for enhanced ultraviolet response
US5227313A (en) 1992-07-24 1993-07-13 Eastman Kodak Company Process for making backside illuminated image sensors
US5315126A (en) 1992-10-13 1994-05-24 Itt Corporation Highly doped surface layer for negative electron affinity devices
US5428392A (en) 1992-11-20 1995-06-27 Picker International, Inc. Strobing time-delayed and integration video camera system
US5475227A (en) 1992-12-17 1995-12-12 Intevac, Inc. Hybrid photomultiplier tube with ion deflector
US5326978A (en) 1992-12-17 1994-07-05 Intevac, Inc. Focused electron-bombarded detector
US5760809A (en) 1993-03-19 1998-06-02 Xerox Corporation Recording sheets containing phosphonium compounds
FI940740A0 (fi) 1994-02-17 1994-02-17 Arto Salokatve Detektor foer paovisning av fotoner eller partiklar, foerfarande foer framstaellning av detektorn och maetningsfoerfarande
US6271916B1 (en) 1994-03-24 2001-08-07 Kla-Tencor Corporation Process and assembly for non-destructive surface inspections
US5493176A (en) 1994-05-23 1996-02-20 Siemens Medical Systems, Inc. Photomultiplier tube with an avalanche photodiode, a flat input end and conductors which simulate the potential distribution in a photomultiplier tube having a spherical-type input end
US20080315092A1 (en) 1994-07-28 2008-12-25 General Nanotechnology Llc Scanning probe microscopy inspection and modification system
EP0702221A3 (en) 1994-09-14 1997-05-21 Delco Electronics Corp Sensor integrated on a chip
JPH08241977A (ja) 1995-03-03 1996-09-17 Hamamatsu Photonics Kk 半導体装置の製造方法
ATE313857T1 (de) 1995-05-19 2006-01-15 Heidenhain Gmbh Dr Johannes Strahlungsempfindliches detektorelement
US6362484B1 (en) 1995-07-14 2002-03-26 Imec Vzw Imager or particle or radiation detector and method of manufacturing the same
US5731584A (en) 1995-07-14 1998-03-24 Imec Vzw Position sensitive particle sensor and manufacturing method therefor
JP4306800B2 (ja) 1996-06-04 2009-08-05 ケーエルエー−テンカー テクノロジィース コーポレイション 表面検査用光学走査システム
US5717518A (en) 1996-07-22 1998-02-10 Kla Instruments Corporation Broad spectrum ultraviolet catadioptric imaging system
US5999310A (en) 1996-07-22 1999-12-07 Shafer; David Ross Ultra-broadband UV microscope imaging system with wide range zoom capability
US5742626A (en) 1996-08-14 1998-04-21 Aculight Corporation Ultraviolet solid state laser, method of using same and laser surgery apparatus
US5760899A (en) 1996-09-04 1998-06-02 Erim International, Inc. High-sensitivity multispectral sensor
US6201257B1 (en) 1996-10-10 2001-03-13 Advanced Scientific Concepts, Inc. Semiconductor X-ray photocathodes devices
US5940685A (en) 1996-10-28 1999-08-17 The United States Of America As Represented By The Secretary Of The Air Force Fabrication of UV-sensitive back illuminated CCD image sensors
US6064759A (en) 1996-11-08 2000-05-16 Buckley; B. Shawn Computer aided inspection machine
JPH10171965A (ja) 1996-12-05 1998-06-26 Toshiba Corp 積算型エリアセンサの画像入力方法及びその装置
US6107619A (en) 1997-07-14 2000-08-22 California Institute Of Technology Delta-doped hybrid advanced detector for low energy particle detection
US6608676B1 (en) 1997-08-01 2003-08-19 Kla-Tencor Corporation System for detecting anomalies and/or features of a surface
US6201601B1 (en) 1997-09-19 2001-03-13 Kla-Tencor Corporation Sample inspection system
US6403963B1 (en) 1997-09-29 2002-06-11 California Institute Of Technology Delta-doped CCD's as low-energy particle detectors and imagers
US6278119B1 (en) 1997-10-21 2001-08-21 California Institute Of Technology Using a delta-doped CCD to determine the energy of a low-energy particle
US6297879B1 (en) 1998-02-27 2001-10-02 Micron Technology, Inc. Inspection method and apparatus for detecting defects on photomasks
US6376985B2 (en) 1998-03-31 2002-04-23 Applied Materials, Inc. Gated photocathode for controlled single and multiple electron beam emission
US6162707A (en) 1998-05-18 2000-12-19 The Regents Of The University Of California Low work function, stable thin films
US6373869B1 (en) 1998-07-30 2002-04-16 Actinix System and method for generating coherent radiation at ultraviolet wavelengths
US6013399A (en) 1998-12-04 2000-01-11 Advanced Micro Devices, Inc. Reworkable EUV mask materials
US6535531B1 (en) 2001-11-29 2003-03-18 Cymer, Inc. Gas discharge laser with pulse multiplier
US6657178B2 (en) 1999-07-20 2003-12-02 Intevac, Inc. Electron bombarded passive pixel sensor imaging
US6307586B1 (en) 1999-07-20 2001-10-23 Intevac, Inc. Electron bombarded active pixel sensor camera incorporating gain control
US6285018B1 (en) 1999-07-20 2001-09-04 Intevac, Inc. Electron bombarded active pixel sensor
US6711283B1 (en) 2000-05-03 2004-03-23 Aperio Technologies, Inc. Fully automatic rapid microscope slide scanner
JP2002033473A (ja) 2000-07-17 2002-01-31 Hamamatsu Photonics Kk 半導体装置
US6879390B1 (en) 2000-08-10 2005-04-12 Kla-Tencor Technologies Corporation Multiple beam inspection apparatus and method
US6507147B1 (en) 2000-08-31 2003-01-14 Intevac, Inc. Unitary vacuum tube incorporating high voltage isolation
US7136159B2 (en) 2000-09-12 2006-11-14 Kla-Tencor Technologies Corporation Excimer laser inspection system
JP2002184302A (ja) 2000-12-18 2002-06-28 Hamamatsu Photonics Kk 半導体光電陰極
US6704339B2 (en) 2001-01-29 2004-03-09 Cymer, Inc. Lithography laser with beam delivery and beam pointing control
US6545281B1 (en) 2001-07-06 2003-04-08 The United States Of America As Represented By The United States Department Of Energy Pocked surface neutron detector
JP3573725B2 (ja) 2001-08-03 2004-10-06 川崎重工業株式会社 X線顕微鏡装置
US6747258B2 (en) 2001-10-09 2004-06-08 Itt Manufacturing Enterprises, Inc. Intensified hybrid solid-state sensor with an insulating layer
US7015452B2 (en) 2001-10-09 2006-03-21 Itt Manufacturing Enterprises, Inc. Intensified hybrid solid-state sensor
WO2003043045A2 (en) 2001-11-13 2003-05-22 Nanosciences Corporation Photocathode
US7130039B2 (en) 2002-04-18 2006-10-31 Kla-Tencor Technologies Corporation Simultaneous multi-spot inspection and imaging
JP4165129B2 (ja) 2002-06-21 2008-10-15 三菱電機株式会社 裏面入射型固体撮像素子
US20040021061A1 (en) 2002-07-30 2004-02-05 Frederik Bijkerk Photodiode, charged-coupled device and method for the production
AU2003252339A1 (en) * 2002-08-01 2004-02-23 Hamamatsu Photonics K.K. Optical sensor
US7446474B2 (en) 2002-10-10 2008-11-04 Applied Materials, Inc. Hetero-junction electron emitter with Group III nitride and activated alkali halide
US7283166B1 (en) 2002-10-15 2007-10-16 Lockheed Martin Corporation Automatic control method and system for electron bombarded charge coupled device (“EBCCD”) sensor
US7126699B1 (en) 2002-10-18 2006-10-24 Kla-Tencor Technologies Corp. Systems and methods for multi-dimensional metrology and/or inspection of a specimen
JP4723860B2 (ja) 2002-12-09 2011-07-13 クォンタム セミコンダクター リミテッド ライアビリティ カンパニー Cmos画像センサー
US7005637B2 (en) 2003-01-31 2006-02-28 Intevac, Inc. Backside thinning of image array devices
US6990385B1 (en) 2003-02-03 2006-01-24 Kla-Tencor Technologies Corporation Defect detection using multiple sensors and parallel processing
GB2398118B (en) 2003-02-07 2006-03-15 Imp College Innovations Ltd Photon arrival time detection
US7141785B2 (en) 2003-02-13 2006-11-28 Micromass Uk Limited Ion detector
US7957066B2 (en) 2003-02-21 2011-06-07 Kla-Tencor Corporation Split field inspection system using small catadioptric objectives
US7813406B1 (en) 2003-10-15 2010-10-12 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Temporal laser pulse manipulation using multiple optical ring-cavities
US7023126B2 (en) 2003-12-03 2006-04-04 Itt Manufacturing Enterprises Inc. Surface structures for halo reduction in electron bombarded devices
US7321468B2 (en) 2003-12-15 2008-01-22 Carl Zeiss Laser Optics Gmbh Method and optical arrangement for beam guiding of a light beam with beam delay
JP2005241290A (ja) 2004-02-24 2005-09-08 Toshiba Corp 画像入力装置及び検査装置
US7035012B2 (en) 2004-03-01 2006-04-25 Coherent, Inc. Optical pulse duration extender
JP4365255B2 (ja) 2004-04-08 2009-11-18 浜松ホトニクス株式会社 発光体と、これを用いた電子線検出器、走査型電子顕微鏡及び質量分析装置
US7301263B2 (en) 2004-05-28 2007-11-27 Applied Materials, Inc. Multiple electron beam system with electron transmission gates
KR100688497B1 (ko) 2004-06-28 2007-03-02 삼성전자주식회사 이미지 센서 및 그 제조방법
US7141791B2 (en) 2004-09-07 2006-11-28 Kla-Tencor Technologies Corporation Apparatus and method for E-beam dark field imaging
JP4500641B2 (ja) 2004-09-29 2010-07-14 株式会社日立ハイテクノロジーズ 欠陥検査方法およびその装置
US7455565B2 (en) 2004-10-13 2008-11-25 The Board Of Trustees Of The Leland Stanford Junior University Fabrication of group III-nitride photocathode having Cs activation layer
US7952633B2 (en) 2004-11-18 2011-05-31 Kla-Tencor Technologies Corporation Apparatus for continuous clocking of TDI sensors
US7609309B2 (en) 2004-11-18 2009-10-27 Kla-Tencor Technologies Corporation Continuous clocking of TDI sensors
US7432517B2 (en) 2004-11-19 2008-10-07 Asml Netherlands B.V. Pulse modifier, lithographic apparatus, and device manufacturing method
WO2006076671A2 (en) 2005-01-13 2006-07-20 Whitehead Institute For Biomedical Research Method and apparatus for uv imaging
JP4751617B2 (ja) 2005-01-21 2011-08-17 株式会社日立ハイテクノロジーズ 欠陥検査方法及びその装置
EP1716964B1 (en) 2005-04-28 2009-01-21 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device and laser irradiation apparatus
US7531826B2 (en) 2005-06-01 2009-05-12 Intevac, Inc. Photocathode structure and operation
EP1734584A1 (en) 2005-06-14 2006-12-20 Photonis-DEP B.V. Electron bombarded image sensor array device as well as such an image sensor array
US7345825B2 (en) 2005-06-30 2008-03-18 Kla-Tencor Technologies Corporation Beam delivery system for laser dark-field illumination in a catadioptric optical system
JP4491391B2 (ja) 2005-08-05 2010-06-30 株式会社日立ハイテクノロジーズ 欠陥検査装置及び欠陥検査方法
JP5403852B2 (ja) 2005-08-12 2014-01-29 株式会社荏原製作所 検出装置及び検査装置
JP4142734B2 (ja) 2005-09-16 2008-09-03 松下電器産業株式会社 回折光学素子
JP4925085B2 (ja) 2005-09-20 2012-04-25 株式会社メガオプト 深紫外レーザー光の発生方法および深紫外レーザー装置
JP5242399B2 (ja) 2005-09-21 2013-07-24 アール・ジェイ・エス・テクノロジー・インコーポレイテッド ゲインを制御した高ダイナミックレンジ感度センサ素子またはアレイのためのシステムおよび方法
JP4939033B2 (ja) 2005-10-31 2012-05-23 浜松ホトニクス株式会社 光電陰極
JP2007133102A (ja) 2005-11-09 2007-05-31 Canon Inc 反射防止膜を有する光学素子及びそれを有する露光装置
US7528943B2 (en) 2005-12-27 2009-05-05 Kla-Tencor Technologies Corporation Method and apparatus for simultaneous high-speed acquisition of multiple images
JP4706850B2 (ja) 2006-03-23 2011-06-22 富士フイルム株式会社 ノズルプレートの製造方法、液滴吐出ヘッド及び画像形成装置
TW200805458A (en) 2006-03-24 2008-01-16 Applied Materials Inc Carbon precursors for use during silicon epitaxial film formation
EP2033036A4 (en) 2006-06-13 2009-07-15 Invent Technologies Llc DEVICE AND METHOD FOR OPTICAL UV DEEP MICROSCOPY
US7457330B2 (en) 2006-06-15 2008-11-25 Pavilion Integration Corporation Low speckle noise monolithic microchip RGB lasers
US8482197B2 (en) 2006-07-05 2013-07-09 Hamamatsu Photonics K.K. Photocathode, electron tube, field assist type photocathode, field assist type photocathode array, and field assist type electron tube
US7791170B2 (en) 2006-07-10 2010-09-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a deep junction for electrical crosstalk reduction of an image sensor
US7800040B2 (en) 2006-09-21 2010-09-21 California Institute Of Technology Method for growing a back surface contact on an imaging detector used in conjunction with back illumination
KR100826407B1 (ko) 2006-10-12 2008-05-02 삼성전기주식회사 자외선 수광용 포토 다이오드 및 이를 포함하는 이미지센서
KR100874954B1 (ko) 2006-12-04 2008-12-19 삼성전자주식회사 후면 수광 이미지 센서
US20080173903A1 (en) 2006-12-28 2008-07-24 Fujifilm Corporation Solid-state image pickup element
JP5342769B2 (ja) 2006-12-28 2013-11-13 浜松ホトニクス株式会社 光電陰極、電子管及び光電子増倍管
WO2008088838A1 (en) 2007-01-17 2008-07-24 Crystal Is, Inc. Defect reduction in seeded aluminum nitride crystal growth
US8755417B1 (en) 2007-04-16 2014-06-17 Kla-Tencor Corporation Coherent light generation below about two-hundred nanometers
US20110073982A1 (en) 2007-05-25 2011-03-31 Armstrong J Joseph Inspection system using back side illuminated linear sensor
US7586108B2 (en) 2007-06-25 2009-09-08 Asml Netherlands B.V. Radiation detector, method of manufacturing a radiation detector and lithographic apparatus comprising a radiation detector
US8138485B2 (en) 2007-06-25 2012-03-20 Asml Netherlands B.V. Radiation detector, method of manufacturing a radiation detector, and lithographic apparatus comprising a radiation detector
US8848199B2 (en) 2007-07-10 2014-09-30 Massachusetts Institute Of Technology Tomographic phase microscopy
WO2009012222A1 (en) 2007-07-13 2009-01-22 Purdue Research Foundation Time-resolved raman spectroscopy
JP5039495B2 (ja) 2007-10-04 2012-10-03 ルネサスエレクトロニクス株式会社 マスクブランク検査方法、反射型露光マスクの製造方法、反射型露光方法および半導体集積回路の製造方法
US7525649B1 (en) 2007-10-19 2009-04-28 Kla-Tencor Technologies Corporation Surface inspection system using laser line illumination with two dimensional imaging
US7605376B2 (en) 2007-10-29 2009-10-20 Fairchild Imaging, Inc. CMOS sensor adapted for dental x-ray imaging
JP5132262B2 (ja) 2007-11-02 2013-01-30 三菱電機株式会社 裏面入射型リニアイメージセンサ、その駆動方法、及びその製造方法
US7838833B1 (en) 2007-11-30 2010-11-23 Kla-Tencor Technologies Corporation Apparatus and method for e-beam dark imaging with perspective control
US7741666B2 (en) 2008-02-08 2010-06-22 Omnivision Technologies, Inc. Backside illuminated imaging sensor with backside P+ doped layer
US8803075B2 (en) 2008-04-18 2014-08-12 Saint-Gobain Ceramics & Plastics, Inc. Radiation detector device
US7714287B1 (en) 2008-06-05 2010-05-11 Kla-Tencor Corporation Apparatus and method for obtaining topographical dark-field images in a scanning electron microscope
JP2010003755A (ja) 2008-06-18 2010-01-07 Mitsubishi Electric Corp 波長変換レーザ装置
JP5305377B2 (ja) 2008-06-26 2013-10-02 株式会社フジクラ ラマン光増幅を用いた光伝送システム
US20120170021A1 (en) 2008-09-02 2012-07-05 Phillip Walsh Method and apparatus for providing multiple wavelength reflectance magnitude and phase for a sample
US7875948B2 (en) 2008-10-21 2011-01-25 Jaroslav Hynecek Backside illuminated image sensor
US7880127B2 (en) 2008-10-27 2011-02-01 Itt Manufacturing Enterprises, Inc. Apparatus and method for aligning an image sensor including a header alignment means
US8017427B2 (en) 2008-12-31 2011-09-13 Omnivision Technologies, Inc. Backside-illuminated (BSI) image sensor with backside diffusion doping
WO2010085478A1 (en) 2009-01-22 2010-07-29 Bae Systems Information And Electronic Systems Inc. Corner cube enhanced photocathode
US8624971B2 (en) 2009-01-23 2014-01-07 Kla-Tencor Corporation TDI sensor modules with localized driving and signal processing circuitry for high speed inspection
US8175373B2 (en) 2009-02-16 2012-05-08 Kla-Tencor Corporation Use of design information and defect image information in defect classification
KR20100103238A (ko) 2009-03-13 2010-09-27 삼성전자주식회사 에피 웨이퍼 제조 방법 및 그에 의해 제조된 에피 웨이퍼, 및 상기 에피 웨이퍼로 제조한 이미지 센서
US7985658B2 (en) 2009-06-08 2011-07-26 Aptina Imaging Corporation Method of forming substrate for use in imager devices
US8553217B2 (en) 2009-06-19 2013-10-08 Kla-Tencor Corporation EUV high throughput inspection system for defect detection on patterned EUV masks, mask blanks, and wafers
NL2004539A (en) 2009-06-22 2010-12-23 Asml Netherlands Bv Object inspection systems and methods.
CN102484027A (zh) 2009-07-17 2012-05-30 克拉-坦科股份有限公司 带电粒子能量分析器
US9023152B2 (en) 2009-09-17 2015-05-05 Kla-Tencor Corporation CLBO crystal growth
CN102035085B (zh) 2009-10-08 2014-03-05 群康科技(深圳)有限公司 导电结构及其制造方法
US8629384B1 (en) 2009-10-26 2014-01-14 Kla-Tencor Corporation Photomultiplier tube optimized for surface inspection in the ultraviolet
EP2513670B1 (en) 2009-12-15 2020-02-05 Saint-Gobain Ceramics & Plastics, Inc. Radiation detection system and method of analyzing an electrical pulse output by a radiation detector
EP2346094A1 (en) 2010-01-13 2011-07-20 FEI Company Method of manufacturing a radiation detector
US8436423B2 (en) 2010-01-21 2013-05-07 Roper Scientific, Inc. Solid state back-illuminated photon sensor
WO2011091305A2 (en) 2010-01-22 2011-07-28 The Board Of Trustees Of The Leland Stanford Junior University Inhibition of axl signaling in anti-metastatic therapy
US8558234B2 (en) 2010-02-11 2013-10-15 California Institute Of Technology Low voltage low light imager and photodetector
US20110234790A1 (en) 2010-03-29 2011-09-29 Bruce True Time resolved photoluminescence imaging systems and methods for photovoltaic cell inspection
NL2006556A (en) 2010-05-13 2011-11-15 Asml Holding Nv Optical system, inspection system and manufacturing method.
US8269223B2 (en) 2010-05-27 2012-09-18 The United States Of America As Represented By The Secretary Of The Army Polarization enhanced avalanche photodetector and method thereof
US8310021B2 (en) 2010-07-13 2012-11-13 Honeywell International Inc. Neutron detector with wafer-to-wafer bonding
US8723160B2 (en) * 2010-07-28 2014-05-13 SemiLEDs Optoelectronics Co., Ltd. Light emitting diode (LED) die having peripheral electrode frame and method of fabrication
EP2601714A4 (en) 2010-08-08 2014-12-17 Kla Tencor Corp DYNAMIC WAVE FRONT CONTROL OF A LASER SYSTEM WITH FREQUENCY CONVERSION
SG190678A1 (en) 2010-12-16 2013-07-31 Kla Tencor Corp Wafer inspection
US8669512B2 (en) 2010-12-28 2014-03-11 Technion Research & Development Foundation Limited System and method for analyzing light by three-photon counting
US8513587B2 (en) 2011-01-24 2013-08-20 Taiwan Semiconductor Manufacturing Company, Ltd. Image sensor with anti-reflection layer and method of manufacturing the same
US8455971B2 (en) 2011-02-14 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for improving charge transfer in backside illuminated image sensor
JP2012175067A (ja) 2011-02-24 2012-09-10 Sony Corp 撮像素子、製造方法、および電子機器
JP2012189385A (ja) 2011-03-09 2012-10-04 Fujifilm Corp 放射線画像検出装置の保守方法
JP5731444B2 (ja) 2011-07-07 2015-06-10 富士フイルム株式会社 放射線検出器、放射線画像撮影装置、及び放射線画像撮影システム
WO2013006867A1 (en) 2011-07-07 2013-01-10 Massachussetts Institute Of Technology Methods and apparatus for ultrathin catalyst layer for photoelectrode
US9279774B2 (en) 2011-07-12 2016-03-08 Kla-Tencor Corp. Wafer inspection
ITTO20110649A1 (it) 2011-07-19 2013-01-20 St Microelectronics Srl Dispositivo di fotorivelazione con copertura protettiva e antiriflesso, e relativo metodo di fabbricazione
US8873596B2 (en) 2011-07-22 2014-10-28 Kla-Tencor Corporation Laser with high quality, stable output beam, and long life high conversion efficiency non-linear crystal
US8871557B2 (en) 2011-09-02 2014-10-28 Electronics And Telecommunications Research Institute Photomultiplier and manufacturing method thereof
US9076639B2 (en) 2011-09-07 2015-07-07 Kla-Tencor Corporation Transmissive-reflective photocathode
US8748828B2 (en) 2011-09-21 2014-06-10 Kla-Tencor Corporation Interposer based imaging sensor for high-speed image acquisition and inspection systems
US20130077086A1 (en) 2011-09-23 2013-03-28 Kla-Tencor Corporation Solid-State Laser And Inspection System Using 193nm Laser
US8872159B2 (en) 2011-09-29 2014-10-28 The United States Of America, As Represented By The Secretary Of The Navy Graphene on semiconductor detector
US9250178B2 (en) 2011-10-07 2016-02-02 Kla-Tencor Corporation Passivation of nonlinear optical crystals
US10197501B2 (en) 2011-12-12 2019-02-05 Kla-Tencor Corporation Electron-bombarded charge-coupled device and inspection systems using EBCCD detectors
US9389166B2 (en) 2011-12-16 2016-07-12 Kla-Tencor Corporation Enhanced high-speed logarithmic photo-detector for spot scanning system
US10079257B2 (en) 2012-04-13 2018-09-18 Taiwan Semiconductor Manufacturing Co., Ltd. Anti-reflective layer for backside illuminated CMOS image sensors
US20130313440A1 (en) 2012-05-22 2013-11-28 Kla-Tencor Corporation Solid-State Laser And Inspection System Using 193nm Laser
KR101914231B1 (ko) 2012-05-30 2018-11-02 삼성디스플레이 주식회사 주사 전자 현미경을 이용한 검사 시스템
US8658973B2 (en) 2012-06-12 2014-02-25 Kla-Tencor Corporation Auger elemental identification algorithm
US8953869B2 (en) 2012-06-14 2015-02-10 Kla-Tencor Corporation Apparatus and methods for inspecting extreme ultra violet reticles
US9601299B2 (en) 2012-08-03 2017-03-21 Kla-Tencor Corporation Photocathode including silicon substrate with boron layer
NL2011568A (en) 2012-10-31 2014-05-06 Asml Netherlands Bv Sensor and lithographic apparatus.
US8921782B2 (en) 2012-11-30 2014-12-30 Kla-Tencor Corporation Tilt-imaging scanning electron microscope
US9426400B2 (en) 2012-12-10 2016-08-23 Kla-Tencor Corporation Method and apparatus for high speed acquisition of moving images using pulsed illumination
US10115663B2 (en) * 2012-12-29 2018-10-30 Monolithic 3D Inc. 3D semiconductor device and structure
US8929406B2 (en) 2013-01-24 2015-01-06 Kla-Tencor Corporation 193NM laser and inspection system
US8912615B2 (en) 2013-01-24 2014-12-16 Osi Optoelectronics, Inc. Shallow junction photodiode for detecting short wavelength light
US9529182B2 (en) 2013-02-13 2016-12-27 KLA—Tencor Corporation 193nm laser and inspection system
US9608399B2 (en) 2013-03-18 2017-03-28 Kla-Tencor Corporation 193 nm laser and an inspection system using a 193 nm laser
US9478402B2 (en) 2013-04-01 2016-10-25 Kla-Tencor Corporation Photomultiplier tube, image sensor, and an inspection system using a PMT or image sensor
US11180866B2 (en) 2013-04-10 2021-11-23 Kla Corporation Passivation of nonlinear optical crystals
US9350921B2 (en) 2013-06-06 2016-05-24 Mitutoyo Corporation Structured illumination projection with enhanced exposure control
US9305949B2 (en) 2013-11-01 2016-04-05 Omnivision Technologies, Inc. Big-small pixel scheme for image sensors
GB2550578A (en) * 2016-05-23 2017-11-29 Univ Warwick Charge carrier multiplier structure

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003243645A (ja) * 2002-02-21 2003-08-29 Sony Corp 固体撮像素子およびその製造方法
TW200739892A (en) * 2006-04-06 2007-10-16 Ibm An image sensor with Cu wiring and method of eliminating high reflectivity interfaces therefrom
US20110291219A1 (en) * 2010-05-25 2011-12-01 Doo-Won Kwon Backside illumination image sensor, method of fabricating the same, and electronic system including the backside illumination image sensor
JP2015520939A (ja) * 2012-04-10 2015-07-23 ケーエルエー−テンカー コーポレイション ボロン層を有する裏面照光センサ
US8803206B1 (en) * 2012-12-29 2014-08-12 Monolithic 3D Inc. 3D semiconductor device and structure
JP2017509142A (ja) * 2014-01-10 2017-03-30 ケーエルエー−テンカー コーポレイション 裏面照射型センサのための反射防止層
JP2017512990A (ja) * 2014-03-17 2017-05-25 ケーエルエー−テンカー コーポレイション イメージセンサ、検査システム及び製品を検査する方法
JP2017526142A (ja) * 2014-08-29 2017-09-07 ケーエルエー−テンカー コーポレイション 走査型電子顕微鏡および試料を検査およびレビューする方法
JP2017534182A (ja) * 2014-11-13 2017-11-16 アーティラックス インコーポレイテッドArtilux Inc. 光吸収装置
JP2018505544A (ja) * 2014-11-24 2018-02-22 アーティラックス インコーポレイテッドArtilux Inc. 同じ基板上でトランジスタと共に光検出器を製作するためのモノリシック集積技法
US20160351604A1 (en) * 2015-05-28 2016-12-01 Taiwan Semiconductor Manufacturing Company Ltd. Implant damage free image sensor and method of the same
JP2018061000A (ja) * 2016-09-30 2018-04-12 ソニーセミコンダクタソリューションズ株式会社 固体撮像素子及び撮像装置

Also Published As

Publication number Publication date
TWI809124B (zh) 2023-07-21
KR20210020115A (ko) 2021-02-23
CN112424906B (zh) 2023-12-26
DE112019003064T5 (de) 2021-03-04
US11114489B2 (en) 2021-09-07
CN112424906A (zh) 2021-02-26
US20190386054A1 (en) 2019-12-19
TW202002266A (zh) 2020-01-01
WO2019245999A1 (en) 2019-12-26

Similar Documents

Publication Publication Date Title
US10269842B2 (en) Anti-reflection layer for back-illuminated sensor
JP6553281B2 (ja) 検査システム
US11114489B2 (en) Back-illuminated sensor and a method of manufacturing a sensor
TWI814961B (zh) 背照明感測器及製造一感測器之方法
US20240063248A1 (en) Back-Illuminated Sensor And A Method Of Manufacturing A Sensor Using A Silicon On Insulator Wafer

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210531

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20220316

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230410

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230530

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20230828

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20231221