JP2020532104A - Fetデバイスのナノチャネル構造にシングルディフュージョンブレークを組み込むための方法及びデバイス - Google Patents

Fetデバイスのナノチャネル構造にシングルディフュージョンブレークを組み込むための方法及びデバイス Download PDF

Info

Publication number
JP2020532104A
JP2020532104A JP2020508532A JP2020508532A JP2020532104A JP 2020532104 A JP2020532104 A JP 2020532104A JP 2020508532 A JP2020508532 A JP 2020508532A JP 2020508532 A JP2020508532 A JP 2020508532A JP 2020532104 A JP2020532104 A JP 2020532104A
Authority
JP
Japan
Prior art keywords
gate
nanochannel
region
dummy
active
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2020508532A
Other languages
English (en)
Other versions
JP7019029B2 (ja
Inventor
スミス,ジェフリー
デヴィリアーズ,アントン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2020532104A publication Critical patent/JP2020532104A/ja
Application granted granted Critical
Publication of JP7019029B2 publication Critical patent/JP7019029B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02603Nanowires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8221Three dimensional integrated circuits stacked in different levels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Abstract

半導体デバイスを形成する方法は、複数のソース/ドレイン(S/D)領域と交互に配置された複数のゲート領域をその上に有する基板を含む開始構造を準備することを含み、ゲート領域のそれぞれは、置換ゲートによって囲まれた中間部分と、ナノチャネル構造が置換ゲート、及びゲート領域のゲートスペーサを通って延在するように、それぞれのゲートスペーサによって囲まれた対向する端部と、を有するナノチャネル構造を含む。S/D領域のそれぞれは、S/D領域を通って延在するS/D構造を含み、S/D領域の対向する側部上にそれぞれ設けられた第1及び第2の隣接ゲート領域のナノチャネル構造を接続する。第1の隣接ゲート領域は、ダミーゲート構造を含むシングルディフュージョンブレークに変換され、第2の隣接ゲート領域は、第2の隣接ゲート領域のナノチャネル構造内に電流チャネルを作成するように構成されたアクティブゲート構造を含むアクティブゲートに変換される。

Description

関連出願の相互参照
本開示は、2017年8月16日に出願された米国仮特許出願第62/546,549号の利益を主張するものであり、その全体が参照により本明細書に組み込まれる。
本開示は、半導体デバイスの製造に関する。より詳細には、本開示は、フィン及びナノワイヤなどの構造の形成及びカットに関する。
電界効果トランジスタ(FET)などのトランジスタは、マイクロエレクトロニクス及び集積回路の基本要素である。密度を増大させ、処理性能を向上させるために、トランジスタ及び他の半導体デバイスをスケールダウン又は縮小する取り組みが続けられている。リソグラフィプロセスで線幅を縮小する方法は、歴史的に、より大きいNAの光学系(開口数)、より短い露光波長、又は空気以外の界面媒体(水浸など)の使用を伴う。従来のリソグラフィプロセスの解像度が理論上の限界に近づいたため、製造者は、光学的限界を克服してますます小さな特徴物を作成するために、ダブルパターニング(DP)法、及び他のパターニング技術に目を向け始めている。
本発明の態様は、半導体デバイス、及びそのような半導体デバイスを形成するための方法を含む。第1の態様は、半導体デバイスを形成する方法を提供する。方法は、複数のソース/ドレイン(S/D)領域と交互に配置された複数のゲート領域をその上に有する基板を含む開始構造を準備することを含み、ゲート領域のそれぞれは、置換ゲートによって囲まれた中間部分と、ナノチャネル構造が置換ゲート、及びゲート領域のゲートスペーサを通って延在するように、それぞれのゲートスペーサによって囲まれた対向する端部と、を有するナノチャネル構造を含み、S/D領域のそれぞれは、S/D領域を通って延在して、S/D領域の対向する側部上にそれぞれ設けられた第1及び第2の隣接ゲート領域のナノチャネル構造を接続するS/D構造を含む。更に、第1の隣接ゲート領域を、ダミーゲート構造を含むシングルディフュージョンブレークに変換するステップを含む。また、第2の隣接ゲート領域を、第2の隣接ゲート領域のナノチャネル構造内に電流チャネルを作成するように構成されたアクティブゲート構造を含むアクティブゲートに変換することも含む。
別の態様は、半導体デバイスを製造する方法を含む。方法は、作業面を有する基板を準備することと、基板上に複数のゲート領域を形成することであって、各ゲート領域がナノチャネル構造を含む、ことと、各S/D領域の側部がゲート領域の側部と接触するように、作業面に沿って複数のゲート領域と交互に配置された複数のソース/ドレイン(S/D)領域を形成することと、を含む。この態様の方法は、複数のゲート領域の第1のゲート領域内にアクティブゲートを形成することを更に含み、アクティブゲートは、第1のゲート領域のナノチャネル構造に接触して、第1のゲート領域を通って、複数のS/D領域の隣接するS/D領域の第1の側部まで延在するアクティブナノチャネル構造を形成する。ダミーゲートは、複数のゲート領域の第2のゲート領域内に形成され、ダミーゲートは、第2のゲート領域のナノチャネル構造に接触して、第2のゲート領域の少なくとも一部を通って、隣接するS/D領域の第1の側部と対向する隣接するS/D領域の第2の側部まで延在するダミーチャネル構造を形成する。S/D構造がアクティブチャネル構造から、隣接するS/D領域を通ってダミーナノチャネル構造まで延在するように、S/D構造を、隣接するS/D領域に形成する。
更なる態様では、半導体デバイスの製造方法は、基板上に形成され、基板の作業面に沿って延在する連続多層FIN構造を有する基板を準備することを含み、連続多層FIN構造が、多層FIN構造に沿って延在する連続ナノチャネル構造を含む。少なくとも1つのシングルディフュージョンブレークカットを連続多層FIN構造に形成して、それぞれのシングルディフュージョンブレークカットによって分離された、各アクティブFIN構造がアクティブナノチャネル構造を含む、複数のアクティブFIN構造を提供する。複数のゲートカットがそれぞれのアクティブFIN構造に形成されて、各アクティブFIN構造から複数のアクティブゲート領域を提供し、複数のアクティブゲート領域が複数のゲートカットのそれぞれによって分離されており、各アクティブゲート領域がアクティブゲート領域を通って延在するそれぞれのアクティブナノチャネルを含む。シングルディフュージョンブレーク構造は、シングルディフュージョンブレークカットで形成され、シングルディフュージョンブレーク構造は、誘電体に囲まれたダミーナノチャネル構造を含む。方法はまた、複数のゲートカットのそれぞれにソース/ドレインS/D領域を形成することであって、各S/D領域がそれぞれのゲートカットにわたって延在するS/D構造を含み、少なくとも1つのS/D構造が、ダミーナノチャネルを、それぞれのアクティブゲート構造のアクティブナノチャネルに接続し、それぞれのアクティブゲート領域内の複数のアクティブナノチャネルの周りに複数のアクティブゲート構造を形成する、ことを含む。
別の態様は、作業面を有する基板を有する半導体デバイスと、作業面に沿った共通平面内の基板上に設けられた複数の電界効果トランジスタ(FET)デバイスとを含む。各FETデバイスは、対向する端面と、対向する端面間に延在する側壁面とを有するアクティブナノチャネル構造と、側壁面と接触するナノチャネル構造の中間部分を囲むアクティブゲート構造と、側壁面と接触するナノチャネル構造のそれぞれの端部をそれぞれ囲む第1及び第2のゲートスペーサと、ナノチャネル構造の対向する端面とそれぞれ接触する第1及び第2のソース/ドレイン(S/D)構造と、を含む。第1及び第2のFETデバイス間に設けられたシングルディフュージョンブレークは、第1のFETデバイスのS/D構造、及び第2のFETデバイスのS/D構造に接続されたダミーナノチャネル構造を含む。
もちろん、本明細書で説明される異なるステップの議論の順序は、明確にするために提示されている。一般に、これらのステップは、任意の適切な順序で実行できる。加えて、本明細書の異なる特徴、技術、構成などのそれぞれは、本開示の異なる場所で議論され得るが、概念のそれぞれは、互いに独立して、又は互いと組み合わせて実行され得ることが意図される。従って、本発明は、多くの異なる方法で具現化及び検討することができる。
この要約セクションは、本開示又は特許請求される発明の全ての実施形態、及び/又は漸増的に新規な態様を指定するものではないことに留意されたい。代わりに、この要約は、異なる実施形態、及び従来の技術に対する新規性の対応するポイントの予備的な議論のみを提供する。本発明及び実施形態の更なる詳細及び/又は可能性のある観点については、読者は、以下で更に議論されるように、本開示の詳細な説明のセクション及び対応する図面に導かれる。
本開示の態様は、添付の図面とともに読まれると、以下の詳細な説明から最もよく理解される。業界の標準的な慣行に従って、様々な特徴が縮尺どおりに描かれていないことに留意されたい。実際に、様々な特徴の寸法は、説明を明確にするために、任意に拡大又は縮小される場合がある。
図1は、本開示の実施形態による、シングルディフュージョンブレークを組み込んだデバイスの斜視断面図である。 図2は、本開示の実施形態による、シングルディフュージョンブレークを組み込んだ別のデバイスの斜視断面図である。 図3は、本開示の実施形態による、ナノ構造デバイスにシングルディフュージョンブレークを組み込むための一般的なプロセスフローを示す。 図4A、図4B、図4C、図4D、図4E、及び図4Fは、本開示の一実施形態による、図2のデバイス用のパターニング統合プロセスの例示的な構造を示す。 図4A、図4B、図4C、図4D、図4E、及び図4Fは、本開示の一実施形態による、図2のデバイス用のパターニング統合プロセスの例示的な構造を示す。 図4A、図4B、図4C、図4D、図4E、及び図4Fは、本開示の一実施形態による、図2のデバイス用のパターニング統合プロセスの例示的な構造を示す。 図4A、図4B、図4C、図4D、図4E、及び図4Fは、本開示の一実施形態による、図2のデバイス用のパターニング統合プロセスの例示的な構造を示す。 図4A、図4B、図4C、図4D、図4E、及び図4Fは、本開示の一実施形態による、図2のデバイス用のパターニング統合プロセスの例示的な構造を示す。 図4A、図4B、図4C、図4D、図4E、及び図4Fは、本開示の一実施形態による、図2のデバイス用のパターニング統合プロセスの例示的な構造を示す。 図5は、本開示の別の実施形態による、ナノ構造デバイス用のシングルディフュージョンブレークを組み込んだデバイスを示す。 図5A、図5B、図5C、及び図5Dは、本開示の一実施形態による、図5のデバイス用のパターニング統合プロセスの例示的な構造を示す。 図5A、図5B、図5C、及び図5Dは、本開示の一実施形態による、図5のデバイス用のパターニング統合プロセスの例示的な構造を示す。 図5A、図5B、図5C、及び図5Dは、本開示の一実施形態による、図5のデバイス用のパターニング統合プロセスの例示的な構造を示す。 図5A、図5B、図5C、及び図5Dは、本開示の一実施形態による、図5のデバイス用のパターニング統合プロセスの例示的な構造を示す。 図6は、デバイスの内部構造を示すために2つの側部で断面化された半導体デバイスの斜視図である。 図7A、図7B、及び図7Cは、シングルディフュージョンブレークを形成するための単純なFINCUTアプローチにおけるプロセスフローステップを示す。 図7A、図7B、及び図7Cは、シングルディフュージョンブレークを形成するための単純なFINCUTアプローチにおけるプロセスフローステップを示す。 図7A、図7B、及び図7Cは、シングルディフュージョンブレークを形成するための単純なFINCUTアプローチにおけるプロセスフローステップを示す。
以下の開示は、提供された主題の異なる特徴を実施するための、多くの異なる実施形態又は実施例を提供する。本開示を単純にするために、構成要素及び配置の特定の例を以下に説明する。もちろん、これらは単なる例に過ぎず、限定することを意図するものではない。例えば、以下に続く説明における第2の特徴の上方又は上での第1の特徴の形成は、第1及び第2の特徴が直接接触して形成される実施形態を含んでもよく、また、第1及び第2の特徴が直接接触し得ないように、第1及び第2の特徴間に追加の特徴が形成され得る実施形態を含んでもよい。加えて、本開示は、様々な例において参照番号及び/又は文字を繰り返し得る。この繰り返しは、単純化及び明確化を目的とするものであり、それ自体が、議論された様々な実施形態及び/又は構成間の関係を決定づけるものではない。
更に、本明細書では、「下に」、「下方」、「より下」、「上方」、「より上」などの空間的に相対的な用語を、説明を簡単にするために使用して、図に示すような、別の要素又は特徴に対する1つの要素又は特徴の関係を説明し得る。空間的に相対的な用語は、図に示されている方向に加えて、使用中又は動作中のデバイスの異なる方向を包含することを意図している。装置は、そうでなく方向付けられる(90度又は他の方向に回転される)ことができ、本明細書で使用される空間的に相対的な記述子も、それに応じて解釈され得る。
本明細書の技術は、従来のFETデバイス又は相補型FETデバイスのいずれかにおいて、ナノチャネル構造(ナノワイヤ又はナノシートなど)を利用したランダム及び非ランダムロジックのデバイス製造に関し、改善されたデバイスルーティング及びエリアスケーリングを利用するために、NMOS電極及びPMOS電極が互いの上に積み重ねられているものである。
FINFETアーキテクチャを組み込んだ標準セルの設計では、アクティブエリア内のブレークは、通常、アクティブFINを物理的にカットし、物理ゲート構造の低誘電率(low−k)スペーサ、又は開かれて誘電体材料で充填されているダミーゲート若しくはカットゲートの下部、のいずれかのすぐ下に、アクティブFINの端部を挟み込むことによって達成される。この標準的なFINFETのFINタック技術の目的は、連続FIN構造と、FINブレークに対応する終端セグメントを有する構造との間の形態上の違いにより、ソース及びドレイン(S/D)エピタキシャル成長が一貫し、且つ、ファセットされないことを確実にすることである。FIN上のエピタキシャル成長の形態に何らかの変化があると、ロジックセルのアクティブ領域内でそのFINにかかる歪みが結果として変化し、それによってデバイスを流れる寄生及び駆動電流に差異をもたらす。
エッジ配置許容値を所与として、FIN又はアクティブブレークは通常、複数のゲートピッチにわたって行われ、結果として、FINブレークが開始及び終了されるゲートが非アクティブになり、ダミーゲートとして、又はゲートメタルがゲートに堆積せず、開かれた構造が誘電体で充填される物理的な「カット」ゲートとして、のいずれかで統合される。ディフュージョンブレークを複数のゲートピッチにわたって延在させることにより、標準セル設計では、これらのディフュージョンブレークを収容するためにかなりの領域が占有される。例えば、東から西の方向で単純な標準セルのセル領域に対する20%が、ディフュージョンブレークによって占有されると推定される。故に、シングルゲート構造のみがディフュージョンブレークを提供するために必要とされるシングルディフュージョンブレークへの移行に、多くの努力が払われている。
本発明者による米国特許第9,721,793号明細書には、FIN FETのシングルディフュージョンブレークを形成するための方法が記載されており、この文書の全ての内容が、参照により本明細書に組み込まれる。開示された方法では、FINはカットされず、全てのゲート構造を連続的に通り抜けるように残される。特定の設計においてシングルディフュージョンブレークが必要とされる領域で、置換ゲートが、ディフュージョンブレークが作成されるように指定された対応する領域まで開かれる。その後、FIN構造が、シャロートレンチアイソレーション(STI)の下の位置まで、又は代わりに、バルクシリコン内まで凹状にされる。置換ゲートの周りの低誘電率スペーサが、FINブレークを低誘電率スペーサに自己整合する。従って、残りのFIN上の全てのエピタキシャル成長は、全てのS/D領域にわたって一貫しており、S/Dのファセットから、又はFIN内のその対応する歪みの影響から生じる寄生又は駆動電流の問題の原因がない。いったんFINが所望のカット領域で凹状にされると、置換ゲートは、ディフュージョンブレーク領域のゲート部分をSiO又はSiNなどの誘電体で単純に埋めることにより、「カットゲート」に変換される。この技術は、FINFETに対してプロセスがどのように機能するかの説明として、「カットアンダーポリ」として知られている。
しかしながら、本発明者らは、ナノチャネル(ナノワイヤ又はナノシートなど)用途の場合、実際のナノワイヤ及びナノシートに対する一貫性のない歪みの影響がより顕著であることを認識した。そのため、ナノワイヤ及びナノシートのシングルディフュージョンブレーク用に使用される一般的な方法は、それらがFINFETに対する場合ほど簡単ではない。FINFETの場合、シリコン又はSiGe FINは、全てのS/D領域を連続して通り抜けるが、ナノワイヤ及びナノシート処理の場合、一般的に、S/D領域のいずれかを通り抜ける物理的なシリコンワイヤはない。
ロジックセルをカットするための1つのオプションは、最初のSi/SiGe FIN構造をカットし、一般的なナノワイヤ又はナノシートの処理を更に変更することなく実行することである。しかしながら、通常のナノワイヤ/ナノシート処理では、S/D領域のいずれかを通り抜けるワイヤは事実上存在しないため、S/D領域内にあるFINカットの残部は効果的に除去されることになる。この方法は、任意の低誘電率ゲートスペーサへの自己整合の独自の方法を提供するが、FINCUTもまたゲート構造内で実行しなければならなかったため、本方法では、ダミーゲートの低誘電率スペーサ内に残余のシリコン又はSiGeワイヤを残さない。このように、アクティブゲートからのいかなるS/Dエピの成長でも、任意の隣接ダミーゲートからの任意のS/Dエピとのマージはない。
図6は、デバイスの内部構造を示すために2つの側部で断面化された半導体デバイスの斜視図である。この図は、単純なFINCUTがナノワイヤ又はナノシートデバイスに対して実行される構造を示している。見られるように、構造600は、一般に、複数のソース/ドレイン(以下、S/D)領域630と交互に配置された複数のゲート領域620をその上に有する基板601で作成される。各ゲート領域620には、ナノチャネル構造621、ゲート構造623、誘電体キャップ627、及びゲートスペーサ629が含まれる。示されるデバイスでは、各ナノチャネル構造621は、対応するFETデバイスにマルチチャネル構造を提供する。ナノチャネル構造621は、例えば、ナノワイヤ又はナノシートであってもよい。各構造621に対して2つのナノシートが示されているが、単一のナノシートを使用してもよく、又は複数のナノシートを使用してマルチチャネル構造を実装してもよい。ゲート構造623は、ゲートオールアラウンド(GAA)構成でナノチャネル構造621を囲む、高誘電率(high−k)誘電体及びゲートメタルの多層構造である。誘電体キャップ627は、基板の他の領域上で実行される処理からゲート領域を保護する。ゲートスペーサ629は、ゲート領域620の導電性部分をS/D領域630の導電性部分から分離するための誘電体材料である。この開示の目的のため、ゲートスペーサ629は、ゲート領域620の一部とみなされる。
各S/D領域630には、S/D構造631、S/Dコンタクトメタル633、誘電体分離635、及び誘電体キャップ637が含まれる。S/D構造631は、各ナノチャネル構造621の対向する側部から延在して、動作中のFETデバイスのチャネルを通過する電流に対してソース及びドレインを提供する。このように、見られるように、構造600は複数のFETデバイスを含む。具体的には、構造600は、その中にダミーゲート651を有するシングルディフュージョンブレーク650によって分離されたセル610を含む。各セル610は複数のFETを含み、そのうちの6つが図6に断面で示されている。断面化されたFETからも分かるように、セル610は、セルの下位レベル603上に3つのFET、及び上位レベル605上に3つのFETを含む。図6のセル610は、下位レベルデバイスがn型FETであり、上位レベルデバイスがp型FETである相補型FET(CFET)アーキテクチャを提供する。
図6にも見られるように、S/Dエピタキシャル成長は、セル610内で一貫していない。特に、セルの端部のFETデバイスは、隣接するFETデバイス間に設けられたS/D領域631とは異なる特性を有する端部S/D領域631’を有する。本発明者らは、セル610内のそのような一貫性のないS/D領域631、631’が問題となり得ることを発見した。例えば、一貫性のないS/Dエピ成長は、アクティブゲートを通り抜けるSi又はSiGeナノ構造(例えば、ワイヤ)にかかる歪みに影響を及ぼす。更に、S/Dエピの形態に何らかのゆがみがあると、コンタクトメタライゼーションで使用可能な量に差異をもたらすことになる。従って、2つのアクティブゲートから成長したS/D631は、後続のコンタクトメタライゼーションに対して有限の量を残す。ディフュージョンブレークの場合、アクティブゲートから延在するS/Dエピ631’は、ダミーゲート650からのエピ成長がないため、ダミーゲートから成長する任意のエピとマージすることができない。そのため、コンタクト又はS/Dバー630のメタライゼーション中、ダミーゲート650に隣接するS/D領域630のコンタクトを充填するメタル633の量は、かなりより多くのメタルとなる。コンタクト領域の追加メタルは、このS/Dコンタクトと、任意の隣接するアクティブゲートとの間の静電容量の点で、重要な意味あいを有することになる。
加えて、ナノワイヤ又はナノシートデバイスのシングルディフュージョンブレークを駆動するFINCUT方法を組み込むことには、図7A、図7B、及び図7Cに示すようにあるタイプのダミーゲートがシングルディフュージョンブレークの位置にある必要があるため、エッジ配置についての懸念のマイナスの副作用がある。図7Aに示すように、単純なFINCUTアプローチでは、カット710は、これがナノシートデバイスに基づいているため、カットミドル又はカットラストのいずれかとして、Si/SiGe FIN720内に作成しなければならない。図7Bの例に示すように、FINカット710のエッジ配置エラー(EPE)配置は、S/Dバーの幅の1/4よりも小さい必要があり、ダミーゲート730をFINCUT空間710内に収めなければならないという潜在的な問題を作り出す。すなわち、ゲート間にぶら下がったままのFINはカットされ、且つ、低誘電率スペーサに自己整合され、Si/SiGe FIN720間の置換ゲートをエッチングすることが、エッチングローディングの観点から問題になり得る。最後に、図7Cに見られるように、CORエッチングはまた、ダミー置換ゲート730とSi/SiGe FIN720との間に適合させることができる必要がある。CORは非常に高い選択性を有し得る気相エッチングプロセスであり、気相エッチングは非常に等方性であるため、複雑な形状内でエッチングすることができる。これを行うTEL Etchツールは、CERTASと呼ばれる。
従って、図7A〜図7Cに示すように、単純なFINCUTアプローチでは、(1)ダミー置換ゲートを、FINCUTの相対的に狭い領域になおも形成しなければならず、(2)低誘電率スペーサを、このダミー置換ゲートの周りに横方向に堆積しなければならず、(3)意図される低誘電率スペーサを超えて相補型材料ワイヤ又はシートを「延在」した状態に保つためのSi又はSiGeの選択された凹部の「通常の」方法を、FINCUTで実行しなければならず、(4)突出したワイヤ又はシートの周りに低誘電率ゲートスペーサの再形成をその後に実行しなければならない。この領域に単一のFINCUTを有することにより、リソグラフィのアライメント精度に多くの依存性が置かれている。これはまた、図7A〜図7Cに示されるように、非常に小さな「開口部」内でかなりの高さの材料を堆積及び除去しなければならないエッチングのパターン依存性にも重点が置かれる結果となる。
本明細書で開示される技術には、ランダム及び非ランダムロジック用のナノワイヤ及びナノシートデバイスなどのナノチャネルデバイス、並びにNMOS及びPMOSワイヤ又はシートが互いの直接上を通る相補型FET(CFET)デバイス内へ、シングルディフュージョンブレークを延在させる方法が含まれる。用語「ナノチャネル」、「ナノワイヤ」、及び「ナノシート」は、本明細書で互換的に使用されて、FETデバイスに電流チャネルを提供するために使用されるナノスケール構造を説明する。
本明細書の技術には、複数の方法が含まれる。1つの方法は、ナノチャネル(ナノワイヤ又はナノシート)が意図されるディフュージョンブレーク領域を連続的に通り抜けることを可能にするシングルディフュージョンブレークを作成することと、ポリシリコン置換ゲート材料を除去することによって置換ゲートをローカル「カットゲート」に変換することとを含む。「通常の」ナノワイヤFINライナーのオープンエッチングとリリースエッチングが実行され、置換ゲートに誘電体材料が充填される。ワイヤはカットゲートを連続的に通り抜けるため、カットゲートの低誘電率スペーサを通り抜けるシリコン又はSiGeが存在することができ、これが、カットゲートを通り抜けるワイヤからS/D材料をエピタキシャル成長させるためのソースになる。このように、S/Dエピ成長が全てのS/Dにわたって一貫することになり、アクティブゲートとカットゲートとの間にファセット、又は「フル」ではないS/Dエピ成長を有する領域に起因する歪みペナルティが存在しないことになる。一例を図1に示す。
図1は、この開示の一実施形態による、ダミーゲート内に誘電体充填物を組み込んだナノワイヤのシングルディフュージョンブレークを示す。見られるように、構造100は、上述の図6の構成と同様に、シングルディフュージョンブレーク150によって互いに絶縁されたセルを含む。しかしながら、ナノシート151は、一貫したS/Dエピ131がセル全体にわたって成長することができるようにするために、ダミーゲートを通り抜けることを可能にする。具体的には、ダミーゲートは、対応するゲート領域120において開かれ、アクティブゲートメタライゼーションの前に誘電体材料で充填される。このプロセスでは、アクティブゲートとは無関係にダミーゲートが開かれ、熱酸化物FINライナーを除去し、SiGeを抜き、ナノシート151を囲むダミーゲート部分に低誘電率誘電体153を再充填してシングルディフュージョンブレーク150を形成する。
図1の方法及びデバイスは、シリコン又はSiGeナノワイヤ若しくはナノシート151を、カットゲート領域120内で「フローティング」させてSDB150を形成するが、それが寄生リスクをもたらす場合があり、又はもたらさない場合がある。別の方法では、S/Dエピがフローティングワイヤから既に成長した後にダミー又はカットゲートからフローティングワイヤを除去することができ、それによってSi又はSiGeワイヤに沿って一貫した歪みを提供する。このプロセスでは、デバイスにフローティングナノワイヤが残らないため、寄生問題がないことを確実にする。S/Dエピタキシャル成長が完了した後にフローティングワイヤをカットすることにより、ワイヤ及び/又はシートカットが低誘電率スペーサに対するエッチング選択性を通して自己整合され、シリコン又はSiGeの「スタッド」を残して、成長したS/Dエピをダミー又はカットゲートから固定する。
図2は、開示された実施形態による、ナノワイヤ/CFET用の自己整合シングルディフュージョンブレークの例示的な構造を示す。この技術では、フローティングワイヤがダミーゲート領域から削除されるが、S/Dエピプロファイルは、低誘電率ゲートスペーサ229になおも埋め込まれているシリコン「スタッド」251として、依然として良好に維持される。シングルディフュージョンブレークは、シングルディフュージョンブレーク250を提供するように指定されたダミーゲート内で、ワイヤ221をカット(エッチング)することにより行われる。この方法は、誘電体が充填された「カットゲート」をシリコンワイヤに通り抜けさせておくよりも複雑であると考え得る。しかしながら、このプロセスは、開かれた置換ゲートからSi/SiGeが除去され、シリコングループエッチングが低誘電率スペーサ229に対して選択的に行われるため、大部分が自己整合である。
加えて、S/Dエピタキシャル成長231が一貫しているため、S/Dコンタクトの任意の後続のメタライゼーションには不均等な量充填がなく、ゲート構造に隣接する過剰なコンタクトメタライゼーションに関連する静電容量に起因する故障又は性能問題の可能性を否定する。これは、コンタクトメタライゼーションに使用可能な量がS/Dエピ231に取り込まれるため、及びダミーゲート又は「カット」ゲートからのエピ成長が一貫しているため、追加のコンタクトメタライゼーションの原因がないことを確実にする。
図3は、シングルディフュージョンブレークをナノワイヤ又はナノシートデバイスに組み込むための一般的なプロセスフローを示している。ステップ310では、開始構造が準備される。開始構造は、内部のセルが横方向に離間され、且つ、垂直に積み重ねられた電界効果トランジスタ(FET)デバイスを含む、ナノワイヤ又はナノシートベースの集積回路の製造プロセスにおける中間構造である。中間構造には、ソース/ドレイン(S/D)領域のエピタキシャル成長及びS/Dメタライゼーション後であるが、ディフュージョンブレークによる隣接セルの分離前の、下部及び上部FETが含まれる。
ステップ320では、開始構造の少なくとも1つのゲート領域が、該領域内のナノワイヤ又はナノシート材料の少なくとも一部を保持しながら、シングルディフュージョンブレーク領域に変換される。一実施形態では、S/Dエピタキシャル領域間の連続ナノワイヤ材料が保持され、図1に説明されたフローティングナノチャネル構造がもたらされる。別の実施形態では、図2に説明されるように、S/D領域に隣接するシリコンスタッドが保持される。次いで、プロセスはステップ330に続き、アクティブゲート領域内の置換ゲート材料の除去、アクティブゲートナノワイヤの解放、及びGAA構造の形成を行う。
図4A〜図4Fは、本開示の一実施形態による、図2のデバイスの例示的なパターニング統合プロセスを示す。これらの図は、PMOSシートがNMOSシートの上に横方向に積層されたナノシートを利用する、CFETデバイスのデバイス統合に関する。ここでの説明では、便宜上、CFETにフォーカスしているが、本明細書の技術は、従来のFET、ナノワイヤ、及びナノシートデバイスにも同様に使用できることに留意されたい。加えて、本明細書の技術は、従来のFETデバイスと比較して異なって見え得る、埋め込み型電力レールを組み込むことができる。しかしながら、これらの図において埋め込み型電力レールが使用されているという事実は、ナノワイヤにシングルディフュージョンブレークを組み込むプロセスには関係ない。
図4Aは、シングルディフュージョンブレークプロセスの開始構造を示す。見られるように、構造400は、ソース/ドレイン領域430と交互になっているゲート領域420と、これらの領域を分離するために設けられたゲートスペーサ429とを含む。各ゲート領域420は、ナノチャネル構造421を囲む置換ゲート材料460を通って延在するシリコン材料のナノチャネル構造421を含む。各ソース−ドレイン領域430は、ソース−ドレインエピタキシャル半導体材料431、及びソース−ドレインメタルコンタクト材料433を含む。ソース−ドレインエピタキシャル材料431は、個々のFETデバイスを形成するために、ナノチャネル構造421の対向する端部上に提供される。見られるように、各ソース−ドレインエピタキシ421は、隣接するFETデバイスのソース−ドレインエピタキシ421とマージされて、プロセスのこの時点においてFETデバイスの連続ストリングを形成する。この例示的な構造400はCFETデバイスを作成するために使用されるため、FETデバイスの下位レベルはNMOSデバイスであり、FETデバイスの上位レベルはPMOSデバイスである。
開始構造400は、事前に製造された構造を取得することにより、又は任意の適切な製造プロセスにより、提供することができる。開始構造400を提供するための1つの例示的なプロセスでは、連続的なSi/SiGe FINが形成され、FINのどちらかの側部上の領域に電力レールが埋め込まれる。相補型FET(CFET)デバイスの場合、VDDレールは、FINの一方の側部上に配置され、VSSレールは対向する側部上に配置される。NMOS及びPMOSがそれらのそれぞれのアクティブ領域をなおも有している典型的なナノワイヤ又はナノシートデバイスの場合、埋め込み型レールは、FINの一方の側部上にのみ配置することができ、一方、STIがFINの他の側部上に存在する。
次いで、標準置換ゲート460が画定され、誘電体キャップ427と、置換ゲート構造460の周りを取り囲む低誘電率スペーサ429とを備えたポリシリコンにパターン化される。このステップでは、Si/SiGe FINは連続的であり、いかなる物理的なカットも完了していない。この時点での置換ゲート460(典型的にはポリシリコン)は、SiNなどの所与の誘電体キャップ427、及びSiCO(N)などの低誘電率スペーサを有する。同様に、FINは熱酸化膜などの特定のライナーを有し、ポリシリコン置換ゲートプルプロセスからの保護を提供して、FIN構造自体からエピタキシャルシリコン又はSiGeのいずれも除去されないようにする。
次に、FINライナーが開かれ、置換ゲート及び低誘電率スペーサ材料429の上の誘電体キャップ427に対してFINが選択的に異方性エッチングされる。そのような技術は、ナノワイヤ/ナノシート421を、置換ゲート460及び低誘電率ゲートスペーサ429内の領域に直接、本質的に自己整合させる。
電流チャネルがシリコンである例では、FIN領域のSiGeは、シリコンナノワイヤ/ナノシートに対して選択的に横方向に凹状である。凹部は、置換ゲート460を越えて、且つ、凹状のSiGe内で再形成される低誘電率スペーサ429を通って延在するシリコンナノシートを提供するために作成される。いくつかの実施形態では、SiGe又はGeなどのシリコン以外の材料で作られたPMOSチャネルを有することが望まれる場合、上部及び下部チャネルが異なる材料で構成され得る従来のCFETセルを使用してもよい。
ソース及びドレイン構造431は、低誘電率ゲートスペーサ429を通して突出しているチャネル材料421から成長する。図4Aに示す相補型FETの場合、S/Dプロセスは、NMOS及びPMOSが標準セルの別個の領域に配置されている従来のナノワイヤの場合とは異なることになる。CFETの場合、PMOS及びNMOS S/D431の配置は、互いの直接に上になる。本明細書の例では、シングルディフュージョンブレークは、従来のナノワイヤ又はCFETナノワイヤのいずれにも適用できる。CFETの例は、シングルディフュージョンブレークを説明する際の便宜のために使用される。
CFETの場合、S/Dバーは、上部ナノワイヤ構造421が低誘電率スペーサ429内でわずかに凹状にされ、エピタキシャル成長をシードしない材料でキャップされる連続的な方法により形成される。次いで、S/Dエピタキシャル成長431が、ナノワイヤ/ナノシート421の最底部のセットから行われる。そして、底部電極「パターン」が、S/Dバー領域を充填する酸化シリコン又は他の材料に記憶される。次に、内部ビアがこの底部電極パターン内でパターン化され、埋め込まれた電力レール407に接触するように転写される。更に、底部S/D431がメタライゼーションされて、下部レベルコンタクト433(この場合、これはNMOS電極になり得る)を形成する。このメタライゼーションプロセスはまた、電力レールを底部コンタクトに接続する内部ビアも充填する。
次いで、下位レベルと上位レベルの間に誘電体分離435を形成する方法が行われる。静電容量の理由で、このプロセスのためにメタル上に誘電体を選択的に堆積させる方法を使用できるが、単純な充填CVD堆積に続いて、CMP及び凹部エッチングを実行することは、形態的観点からも等しく有効である。次いで、上部チャネル421の上のキャップ427が、低誘電率スペーサ429に対して選択的に除去される。そして、S/Dエピ431が、上部チャネル421から成長し、メタライゼーションされる。次に、上部電極パターンが、酸化物、又はS/Dバー内の他の材料内に記憶される。更に、対応する埋め込み型電力レール407への電気接続を行うために、内部ビアが、既存の上部電極パターン内にパターン化される。上部電極、及び対応する内部ビアは、メタル433でメタライゼーションされる。次いで、S/Dバーの残りの部分に誘電体材料435及びCMPを充填して、置換ゲートの上部と横方向に整合させ、本開示の実施形態によるシングルディフュージョンブレークプロセスのための図4Aに示す開始構造を準備する。
S/D構造431の形状を明らかにするためにS/Dバーを通る断面が提供されている図4Aに見られるように、下部及び上部電極をメタライゼーションすると、S/Dエピ431の一貫した形状により、メタライゼーションされた電極433の最小化を効果的に可能にすることが明らかである。電極のサイズ及び形状は、設計(ローカル相互接続、又は各コンタクトのBEOLまでに必要なアクセスポイントの数)によって異なる。コンタクトと隣接するメタルゲートとの間の総静電容量を低減するためには、CFETが、電極、及び埋め込み型電力レール407までの対応する内部ビア接続両方に使用されているメタルの総量を最小化することが特に望ましい。
ナノワイヤ又はナノシートプロセスのシングルディフュージョンブレークは、置換ゲート又はメタルゲートのいずれかにおけるゲートカットによって行われる。しかしながら、図4A〜図4Fのこの例示的なプロセスでは、実証を容易にするために、シングルディフュージョンブレーク450が置換ゲート460内で行われている。
シングルディフュージョンブレーク(SDB)プロセスでは、単一の置換ゲートに自己整合して、低誘電率スペーサ429、及びS/Dバーの上部にある誘電体膜437に対するエッチング選択性によって「カット」する。そのような処理は、東京エレクトロン株式会社のVigus tXプラットフォーム上のエッチング機能を利用することで、非常に高い選択性(20:1超)を提供する。この置換ゲートエッチングは、異方性エッチングであることからの利点があるが、他のほとんどの置換ゲートエッチングは等方性であることの柔軟性を有する。この場合の異方性エッチングの必要性は、これが従来の「置換ゲートオープン」エッチングではなく、単一の置換ゲート構造内にシングルディフュージョンブレークを配置するためのエッチングであるという事実によるものである。
図4Bに見られるように、パターン化されたマスク材料470が開始構造400上に提供され、次いで置換ゲート460を含むポリシリコンがエッチングされて凹部471を形成する。このエッチング凹部471は、熱酸化物、又は任意のタイプのエッチングでポリシリコンに対して高い選択性を呈し得る他のタイプの材料で通常は構成されるFINライナー材料によって保護されているSi/SiGe FINを露出させる。
次いで、図4Cに示されるように、Si/SiGe FINを保護するライナーを除去し、露出したFINを異方性エッチングして、意図された物理ゲート構造の下に凹部473を形成する。等方性エッチングは、置換ゲート材料460がシリコンベースではない材料、又はSi及びSiGeに対して良好な選択性を有し、S/D上で行われるドーパント活性化に対して熱的に安定な材料で構成される場合にのみ、この状況で任意選択的に使用できる。
カットは低誘電率ゲートスペーサ429に自己整合しているため、低誘電率スペーサ429内には残ったままの残余チャネル「スタッド」451があり、既に成長したS/D構造431に安定したアンカーポイントを提供する。このように、S/Dエピ431のいずれもいかなるエッチングにも直接さらされず、それによって、任意のディフュージョンブレーク領域450に隣接するS/Dエピ431のファセット又は変形のメカニズムがない。加えて、この方法は、低誘電率スペーサ429内に残る残余チャネル材料スタッド451を提供するため、アクティブゲートと、ダミーゲート又はカットゲートのいずれかとの間でS/Dを変形させる他の手段がない。例示的な結果を、図4Cに示す。
CFETの場合に標準セル間の南北方向のカットゲート又はダミーゲートに隣接して通る実際の物理ゲートにおいて、ナノワイヤ又はナノシートが暴露され得るように、シングルディフュージョンブレーク450は次いで、ゲート「カット」が望まれる場合に誘電体材料453で満たされるか、或いは、シングルディフュージョンブレーク450が一時的な材料で充填される。この例の場合、誘電体材料453で充填された物理的なカットゲートを含むようなシングルディフュージョンブレークを検討している。
CFETの場合、1つの課題は、大部分のゲート構造がNMOSとPMOSとの間で「共通」であり、ゲートが、メタライゼーションプロセスによって上部PMOSと下部NMOS(又はその逆)とに「分離」されることである。SRAMに対して存在し得るような、1つのチャネルに対してシングルディフュージョンブレークが必要であり、他のチャネルにはそうではない、非常に複雑な標準セルのシングルディフュージョンブレーク450の場合、NMOS及びPMOSゲートは物理的に分離することができる。シングルディフュージョンブレークをNMOS又はPMOSチャネルにのみ存在させるために、そのような技術を標準ランダムロジックデバイスに組み込むことができる。例示的な結果を、図4Dに示す。
いったんSDB「カット」が作られ、誘電体材料453、又は意図されたダミー若しくはカットゲート内で使用される任意のタイプの置換材料で充填されると、意図されたアクティブゲートが処理される。残りのゲート領域420で置換ゲート460内のポリシリコンが抜かれ、それが、これらの意図されたアクティブゲート内のアクティブSi/SiGe FIN構造を残すことになる。次いで、SiGeが除去されて、アクティブゲート領域420内のシリコンナノワイヤ又はナノシート421を解放する。PMOSをNMOSに比べて異なるチャネル材料にすることを望む場合は、エピタキシャル材料の3方向選択性マトリックスを、Si、Si:B:SiGe:GeなどのFIN構造で構成することができ、それによって、非常に高い選択性を使用して、FIN構造内の一時的な材料を除去しながら、所望のチャネル材料を保持することができる。例示的な結果が図4Eに示されており、これは、凹状トレンチ480内に懸架された解放されたナノチャネル構造421を有する意図されたアクティブゲート領域420を示す。
アクティブゲートは(及び、ゲートの任意のメタライゼーションの前にエッチング選択置換材料が除去されることを条件にダミーゲートも)、NMOSゲート及びPMOSゲートが同じ共通物理ゲートに含まれるような方法で、又はSRAMセル設計用に東京エレクトロン株式会社が開発したデュアルゲートアプローチによって、メタライゼーションされる。
この例では、共通ゲート490が使用され、この共通ゲートはPMOSゲートの下に配置されたNMOSゲートを有する。これは、上部ゲート及び下部ゲートの両方の周りに高誘電率膜を堆積することと、上部ゲート及び下部ゲートの両方の周りにゲートメタルを堆積することと、一時的な充填材料を使用して1つのチャネルを選択的に覆い、同時に別のチャネルを露出できるように、NMOS及びPMOSの仕事関数(WF)メタルを堆積することと、によって行われる。この方法は、使用されている統合スキームに応じて、シングルチャネル堆積又はシングルチャネルエッチングのいずれかをサポートするために実行することができる。そうして、NMOS及びPMOSにわたるゲートメタルの堆積が完了する。最後のゲートメタル堆積は、例えば、タングステン、コバルト、ルテニウム、アルミニウム、又はそれらの合金などのメタルで実行される。例示的な結果が図4Fに示されており、これは、S/DバーではなくGAA構造を通る断面を有する図2と同じ構造である。
統合におけるこのステップ後、全ての処理は、従来のロジックに使用されるものに従い、すなわち、ゲート及びS/Dコンタクトへのローカル接続が作成され、次いで、BEOLルーティングトラックまでの接続が作成されて、エンドデバイスを形成する。
図1の構造を形成するプロセスは、ナノシート/ナノワイヤ421がダミーゲート領域420内に保持されて、対向するS/Dエピタキシ構造431間を橋渡しすることを除いて、図4A〜図4Fのプロセスと同様である。具体的には、FINライナーの除去後、置換ゲート材料460が除去されてナノワイヤ/ナノシート材料421が解放され、この領域が、アクティブゲート領域のゲートメタライゼーションの前に誘電体材料453で充填される。
統合のための更に別の技術では、ナノワイヤ又はナノシート処理のためのシングルディフュージョンブレークに対する別のアプローチを提供するために、Si/SiGe FINは最初にカットされるが、すぐに誘電体で充填される。例示的な結果を、図5に示す。見られるように、構造500は、S/Dエピプロファイルが低誘電率ゲートスペーサ559に埋め込まれているシリコン「スタッド」551としてなおも良好に維持されるという点で、図2の構造と類似している。加えて、S/Dエピタキシャル成長531は、セルにわたって一貫している。構造500は、CUT−BEYOND−LASTを使用する、ナノワイヤへのFINFETシングルディフュージョンブレークを含む。ナノワイヤ/ナノシートは、連続して走るSi/SiGe FINとして形成される(FINがSi/SiGeスタック中に転写された後にカットが行われる)。最初のSTI酸化物堆積/CMP後、CUTマスクを使用して連続FINが開かれ、カットからのSi/SiGeを異方性エッチングする。次いで、Si/SiGeフィンのカットを、誘電体553で充填する。この方法はまた、ワイヤのスタッド551を保持して、一貫したS/Dエピ531がアクティブゲートとダミーゲートとの両方にわたって成長することを可能にする。このように、これは、置換ゲート内でワイヤ/シートをカットする代わりになる。
図5A、図5B、図5C、及び図5Dは、図5の構造500に示されるように、ナノワイヤ構造にシングルディフュージョンブレークを提供するためのプロセスフローを示す。図5A〜図5Dは、開始構造の異なる観点からのシングルディフュージョンブレークプロセスを示す。図5Aに見られるように、開始構造は、基板501上に設けられた連続的な多層フィン構造503を含む。次いで、フィン503間の領域が充填材料505で充填され、図5Bに示されるように、シングルディフュージョンブレークのパターン化されたマスク507が、構造の上に提供される。次いで、図5Cでは、ゲートカット509が、フィン503内に異方性エッチングされる。次に、図5Dに示すように、カット509に誘電体充填物が提供されて、シングルディフュージョンブレーク550を形成する。
この技術では、所与のプロセスの後半でSADP又はSAQP(マルチパターニング)から行われる、意図されるダミーゲート領域にFINカットを自己整合させることが困難な場合がある。また、この技術では、メタルゲートサイズよりも小さいカットを必要とするため、エッジプレースメントエラー(EPE)の許容範囲は、アクティブ領域とダミー領域との間で一貫したS/Dエピ成長を確実にするシリコンスタッド形成を妨げてしまう領域までは延在しない。
この方法は、SDBが、物理ゲート構造に対する任意のタイプの自己整合を欠くことになり、ゲート構造(SADP、又はSAQPさえも)を形成するために使用される方法のアライメント能力に依存することになるため、少し複雑になると考えられる。
従って、シングルディフュージョンブレーク(SDB)を提供するための様々な技術が、本明細書に開示されている。1つの技術では、ナノワイヤ/ナノシートプロセス用のSDBは、初期のFIN形成モジュールでシリコン/SiGe超格子FINをカットするのとは対照的に、置換ゲートモジュールで行われる。ナノワイヤ/ナノシートのシングルディフュージョンブレークは、意図されたディフュージョンブレークの両方の隣接するコンタクト上のソース及びドレイン領域内で完全なエピタキシャル成長が行われ得るように実行される。シングルディフュージョンブレーク領域の両側部上での完全なエピタキシャル成長により、隣接するアクティブゲートを通り抜けるチャネル上で一貫した歪みの達成が確実にされる。
ソース及びドレインのコンタクトのエピタキシャル成長は、シングルディフュージョンブレークの形成前又は形成後のいずれにも行うことができる。意図される置換ゲートでのシングルディフュージョンブレークに続く、ソース及びドレイン形成の場合の一実施形態では、チャネル材料を、低誘電率又はゲート側壁スペーサ内に保持する必要があり、これにより、2つのアクティブゲート間に形成されるものと同様の一貫したソース及びドレインのコンタクトを作成するために、ソース及びドレインのエピタキシャル成長がディフュージョンブレーク領域からなおも達成されることを可能にする。シングルディフュージョンブレークの前に行われるソース及びドレインの形成の場合の例では、ソース及びドレインのコンタクトは、コンタクト領域内の誘電体充填、又はコンタクト領域上に配置された誘電体キャップによって提供されるエッチング選択性により、開かれた置換ゲート内のシリコン/SiGe超格子のいかなるエッチングからも保護される。一般的に、700Cを超える温度で行われる高誘電率膜アニーリングなどの、任意のクリティカルなhigh−kメタルゲート(HKMG)処理の温度を超える熱制限を有する、ルテニウムなどのメタルが使用されるならば、置換ゲートモジュールにおけるシングルディフュージョンブレークの前に、ソース及びドレインのコンタクトをメタライゼーションすることもできる。
いくつかの実施形態では、低誘電率又はゲート側壁スペーサの形成は、ディフュージョンブレークが行われる置換ゲートが開かれる前に完了する。ナノワイヤ/ナノシートデバイスのそのような方法は、一般に、業界では「内部スペーサ」と呼ばれる。
意図されたチャネルがシリコンである実施形態の場合、シリコン/SiGe超格子は、積み重ねられたシリコンチャネル間に所望の量の空間を形成するために、SiGeを周期的に凹状にできる東京エレクトロンCERTAS気相エッチングなどの、等方性の高選択性エッチングで処理することができる。低誘電率又はゲートスペーサ材料のみが、積み重ねられたシリコンチャネルワイヤ間又はシート間に残るように、低誘電率又はゲートスペーサ材料が、次いで、堆積され、異方性エッチングされ得る。低誘電率又はゲート側壁スペーサは、次いで意図されるシングルディフュージョンブレーク領域内で置換ゲートが開かれ、シリコン/SiGe超格子FINが意図されるディフュージョンブレーク領域で発掘されたときに、積層ナノワイヤ又はナノシートの保護を提供することができる。置換ゲートの低誘電率又はゲートスペーサ内に含まれる残りのチャネル材料は、シングルディフュージョンブレークが最初に行われる場合、ソース及びドレインのエピタキシャル成長の成長用に使用することができ、又は、コンタクトのソース及びドレインのエピタキシャル成長がすでに完了している場合、残りの低誘電率又はゲート側壁スペーサ内のチャネルの抑制が、形成されたソース及びドレインのコンタクトへのいかなるゆがみも防ぐ。
ポリシリコン又はアモルファスシリコン置換ゲートが開かれて、低誘電率又はゲート側壁スペーサ内に保持されたチャネル、及び充填された誘電体膜内に含まれるエピタキシャルソース及びドレインのコンタクトに対する選択性を有するシリコン/SiGe超格子FINを暴露させることができる。ソース及びドレインのコンタクトがすでにメタライゼーションされている場合、低誘電率又はゲートの側壁スペーサ、並びにポリシリコン又はアモルファスシリコン置換ゲートに対してエッチング選択性を有する誘電体を配置するために、コンタクトメタルを凹状にすることができる。
いったん意図されるシングルディフュージョンブレークを形成するために所望の置換ゲートが開かれると、置換ゲート内のシリコン/SiGe超格子を掘り出すか若しくは除外することができ、又は修正エッチングレシピを用いてポリシリコン若しくはアモルファス置換ゲート及びシリコン/SiGe超格子FINを同時に除外することができる。この実施形態では、意図されたシングルディフュージョンブレークの低誘電率又はゲート側壁スペーサ内に含まれるチャネル材料があるため、シリコン/SiGe超格子FINが掘り出された開かれた置換ゲートには、何らかのタイプの誘電体材料を充填する必要がある。意図されたシングルディフュージョンブレーク内にHKMGフィルムがなおも堆積する従来の「ダミーゲート」は、チャネル材料が「ダミーゲート」に接続することになるため、ナノワイヤ/ナノシートデバイス用のこの場合には作成できない。
前述の説明では、処理システムの特定の形状、及びそこで使用される様々な構成要素及びプロセスの説明など、特定の詳細を説明してきた。しかしながら、本明細書の技術は、これらの特定の詳細から逸脱する他の実施形態で実施することができ、そのような詳細は説明のためのものであり、限定のためのものではないことを理解されたい。本明細書で開示される実施形態が、添付の図面を参照して説明されてきた。同様に、説明の目的で、完全な理解を提供するために、特定の番号、材料、及び構成が示されてきた。それにもかかわらず、そのような特定の詳細なしで、実施形態を実施することができる。実質的に同じ機能的構成を有する構成要素は、同様の参照記号によって示され、従って、任意の冗長な説明は省略され得る。
様々な技術が、様々な実施形態の理解を支援するために、複数の個別の動作として説明されてきた。説明の順序は、これらの動作が必ず順序に依存することを意味すると解釈されるべきではない。実際に、これらの動作は表示の順序で実行される必要はない。説明された動作は、説明された実施形態とは異なる順序で実行されてもよい。追加の実施形態では、様々な追加の動作を実行することができ、及び/又は説明した動作を省略することができる。
本明細書で使用される「基板」又は「ターゲット基板」は、一般的に、本発明に従って処理されている物体を指す。基板は、デバイス、特に半導体又は他の電子デバイスの任意の材料部分又は構造を含むことができ、例えば、半導体ウェハ、レチクルなどのベース基板構造、又は薄膜などのベース基板構造上、若しくはそれをオーバーレイする層であってもよい。従って、基板は、任意の特定のベース構造、下層又は上層、パターン付き又はパターンなしに限定されず、むしろ、任意のそのような層若しくはベース構造、並びに層及び/又はベース構造の任意の組み合わせを含むと考えられる。説明では特定のタイプの基板を参照している場合があるが、これは説明のみを目的とするものである。
また、当業者であれば、本発明の同じ目的をなおも達成しながら、上記で説明した技術の動作に対して多くの変形を行い得ることを理解するであろう。そのような変形は、この開示の範囲に含まれることが意図されている。従って、本発明の実施形態の前述の説明は、限定することを意図したものではない。むしろ、本発明の実施形態に対する任意の限定は、以下の特許請求の範囲に提示される。

Claims (40)

  1. 半導体デバイスを形成する方法であって、
    複数のソース/ドレイン(S/D)領域と交互に配置された複数のゲート領域を自身の上に有する基板を含む開始構造を準備することであって、前記ゲート領域のそれぞれが、置換ゲートによって囲まれた中間部分と、それぞれのゲートスペーサによって囲まれた両側の端部と、を有するナノチャネル構造を含み、前記ナノチャネル構造が該ゲート領域の前記置換ゲート及び前記ゲートスペーサを通って延在するようにされており、前記S/D領域のそれぞれが、該S/D領域を通って延在して、該S/D領域の両側の側部上にそれぞれ設けられた第1及び第2の隣接ゲート領域のナノチャネル構造を接続するS/D構造を含む、ことと、
    前記第1の隣接ゲート領域を、ダミーゲート構造を含むシングルディフュージョンブレークに変換することと、
    前記第2の隣接ゲート領域を、前記第2の隣接ゲート領域の前記ナノチャネル構造内に電流チャネルを作り出すように構成されたアクティブゲート構造を含むアクティブゲートに変換することと、を含む、方法。
  2. 前記第1の隣接ゲート領域を前記変換することは、
    前記第1の隣接ゲート領域内の前記ナノチャネル構造の前記中間部分から、前記置換ゲートを除去することと、
    前記第1の隣接ゲート領域内の前記ナノチャネル構造の前記中間部分を誘電体材料で囲んで、前記ダミーゲート構造を形成することと、を含む、請求項1に記載の方法。
  3. 前記置換ゲートを前記除去することは、前記第1の隣接ゲート領域内の前記ナノチャネル構造の前記中間部分を保持しながら、前記第1の隣接ゲート領域内の前記置換ゲートを等方性エッチングすることを含む、請求項2に記載の方法。
  4. 前記等方性エッチングは、前記ナノチャネル構造の材料に対して、及び前記ゲートスペーサの材料に対して、前記置換ゲートの材料を選択的にエッチングすることを含む、請求項3に記載の方法。
  5. 前記等方性エッチングは、前記第2の隣接ゲート領域の対向するゲートスペーサに自己整合される、請求項4に記載の方法。
  6. 前記第1の隣接ゲート領域を前記変換することは、
    前記置換ゲート、及び前記ナノチャネル構造の前記中間部分を除去して、前記第1の隣接ゲート領域内にトレンチを提供することと、
    前記トレンチを誘電体材料で充填して、前記シングルディフュージョンブレークを形成することと、を含む、請求項1に記載の方法。
  7. 前記除去することは、前記置換ゲート、及び前記ナノチャネル構造の前記中間部分を異方性エッチングして、前記トレンチを提供することを含む、請求項6に記載の方法。
  8. 前記第2の隣接ゲート領域を前記変換することは、
    前記第2の隣接ゲート領域内の前記ナノチャネル構造の前記中間部分から、前記置換ゲートを除去することと、
    前記第2の隣接ゲート領域内の前記ナノチャネル構造の前記中間部分を多層構造で囲んで、前記アクティブゲート構造を形成することと、を含む、請求項1に記載の方法。
  9. 前記囲むことは、
    前記ナノチャネル構造の前記中間部分上にhigh−k材料の層を形成することと、
    前記high−k材料の層上に少なくとも1つの導電性材料の層を形成することと、を含む、請求項8に記載の方法。
  10. 半導体デバイスを製造する方法であって、
    作業面を有する基板を準備することと、
    前記基板上に、複数のゲート領域を形成することであって、各ゲート領域がナノチャネル構造を含む、ことと、
    各S/D領域の側部が前記ゲート領域の側部と接触するように、前記作業面に沿って前記複数のゲート領域と交互に配置された複数のソース/ドレイン(S/D)領域を形成することと、
    前記複数のゲート領域のうちの第1のゲート領域内にアクティブゲートを形成することであって、前記アクティブゲートが、前記第1のゲート領域の前記ナノチャネル構造に接触して、前記第1のゲート領域を通って、前記複数のS/D領域のうちの隣接するS/D領域の第1の側部まで延在するアクティブナノチャネル構造を形成する、ことと、
    前記複数のゲート領域のうちの第2のゲート領域内にダミーゲートを形成することであって、前記ダミーゲートが、前記第2のゲート領域の前記ナノチャネル構造に接触して、前記第2のゲート領域の少なくとも一部を通って、前記隣接するS/D領域の前記第1の側部とは反対側の前記隣接するS/D領域の第2の側部まで延在するダミーナノチャネル構造を形成する、ことと、
    前記隣接するS/D領域にS/D構造を形成して、前記S/D構造が前記アクティブナノチャネル構造から前記隣接するS/D領域を通って前記ダミーナノチャネル構造まで延在するようにすることと、を含む、方法。
  11. 前記複数のゲート領域を形成することは、
    前記ゲート領域の前記ナノチャネル構造の中間部分を囲む置換ゲートを形成することと、
    前記ナノチャネル構造の両側の端部のそれぞれを囲むゲートスペーサを形成し、前記ゲートスペーサが前記ゲート領域の両側の側壁を形成して前記置換ゲートが前記両側の側壁間に設けられるようにするとともに、前記ナノチャネル構造が各ゲートスペーサを通って延在するようにすることと、を含む、請求項10に記載の方法。
  12. 前記アクティブゲートを形成することは、
    前記第1のゲート領域から前記置換ゲートを除去することと、
    前記第1のゲート領域の前記ナノチャネル構造の前記中間部分を、多層アクティブゲート構造で囲むことと、を含む、請求項11に記載の方法。
  13. 前記中間部分を囲むことは、
    前記ナノチャネル構造の前記中間部分と接触するhigh−k誘電体層を形成することと、
    前記high−k誘電体層と接触するゲートメタルを形成することと、を含む、請求項12に記載の方法。
  14. 前記ダミーゲートを形成することは、
    前記第2のゲート領域から前記置換ゲートを除去することと、
    前記ナノチャネル構造の前記中間部分を前記ダミーゲート構造で囲むことと、を含む、請求項11に記載の方法。
  15. 前記中間部分を前記囲むことは、前記ゲートスペーサ間の領域を誘電体材料で充填して、前記ナノチャネル構造が前記第2のゲート領域内の前記誘電体材料及び前記ゲートスペーサを通って延在するようにすることを含む、請求項14に記載の方法。
  16. 前記ダミーゲートを形成することは、
    前記置換ゲート、及び前記ナノチャネル構造の前記中間部分を、前記第2のゲート領域から除去することと、
    前記ダミーナノチャネル構造が両側の前記ゲートスペーサのそれぞれを通って延在するナノチャネル材料のスタッドを含むように、両側の前記ゲートスペーサ間の領域を誘電体材料で充填することと、を含む、請求項11に記載の方法。
  17. 前記除去することは、前記置換ゲート、及び前記ナノチャネル構造の前記中間部分を、前記第2のゲート領域から異方性エッチングすることを含む、請求項16に記載の方法。
  18. 半導体デバイスを製造する方法であって、
    基板上に形成され、前記基板の作業面に沿って延在する連続多層FIN構造を有する前記基板を準備することであって、連続多層FIN構造が、前記多層FIN構造に沿って延在する連続ナノチャネル構造を含む、ことと、
    少なくとも1つのシングルディフュージョンブレークカットを前記連続多層FIN構造内に形成して、それぞれのシングルディフュージョンブレークカットによって分離された複数のアクティブFIN構造を提供することであって、各アクティブFIN構造がアクティブナノチャネル構造を含む、ことと、
    前記アクティブFIN構造のそれぞれ内に複数のゲートカットを形成して、各アクティブFIN構造から複数のアクティブゲート領域を提供することであって、前記複数のアクティブゲート領域が前記複数のゲートカットのうちのそれぞれの1つによって分離され、各アクティブゲート領域が、該アクティブゲート領域を通って延在するそれぞれのアクティブナノチャネルを含む、ことと、
    前記シングルディフュージョンブレークカット内にシングルディフュージョンブレーク構造を形成することであって、前記シングルディフュージョンブレーク構造は誘電体によって囲まれたダミーナノチャネル構造を含む、ことと、
    前記複数のゲートカットのそれぞれ内にソース/ドレイン(S/D)領域を形成することであって、各S/D領域がそれぞれの前記ゲートカットにわたって延在するS/D構造を含み、少なくとも1つのS/D構造が、前記ダミーナノチャネルをそれぞれのアクティブゲート構造の前記アクティブナノチャネルに接続する、ことと、
    それぞれのアクティブゲート領域内の前記複数のアクティブナノチャネルの周りに複数のアクティブゲート構造を形成することと、を含む、方法。
  19. 前記シングルディフュージョンブレーク構造を形成することは、前記ディフュージョンブレーク領域にナノチャネル材料のスタッドを形成することを含む、請求項18に記載の方法。
  20. 前記S/D構造を形成することは、前記スタッドからS/D材料をエピタキシャル成長させ、且つ、前記アクティブナノチャネルからS/D材料をエピタキシャル成長させることによって、前記少なくとも1つのS/D構造を形成することを含む、請求項19に記載の方法。
  21. 半導体デバイスであって、
    作業面を有する基板と、
    前記作業面に沿った共通平面内で前記基板上に設けられた複数の電界効果トランジスタ(FET)デバイスであって、各FETデバイスが、
    対向する端面と、前記対向する端面間に延在する側壁面とを有するアクティブナノチャネル構造と、
    前記側壁面と接触する前記ナノチャネル構造の中間部分を囲むアクティブゲート構造と、
    それぞれが前記側壁面と接触する前記ナノチャネル構造のそれぞれの端部を囲む第1及び第2のゲートスペーサと、
    前記ナノチャネル構造の前記対向する端面とそれぞれ接触する第1及び第2のソース/ドレイン(S/D)構造と、を含む、FETデバイスと、
    第1及び第2のFETデバイス間に設けられたシングルディフュージョンブレークであって、前記第1のFETデバイスのS/D構造及び前記第2のFETデバイスのS/D構造に接続されたダミーナノチャネル構造を含む、シングルディフュージョンブレークと、を備える、半導体デバイス。
  22. 前記ナノチャネル構造は、ナノワイヤ及びナノシートのうちの少なくとも1つを含む、請求項21に記載の半導体デバイス。
  23. 前記シングルディフュージョンブレークは、前記シングルディフュージョンブレークを通って延在する連続ダミーナノチャネル構造を含む、請求項21に記載の半導体デバイス。
  24. 前記連続ダミーナノチャネル構造は、対向するダミーナノチャネル端面と、前記対向するダミーナノチャネル端面間に延在するダミーナノチャネル側壁面とを含む、請求項23に記載の半導体デバイス。
  25. 前記シングルディフュージョンブレークは、前記ダミーナノチャネルの側壁面と接触する前記連続ダミーナノチャネル構造を囲む誘電体材料を更に含む、請求項24に記載の半導体デバイス。
  26. 前記ダミーナノチャネル端面のうちの第1のものは、前記第1のFETデバイスのS/D領域と接触し、前記ダミーナノチャネル端面のうちの第2のものは、前記第2のFETデバイスのS/D領域と接触する、請求項24に記載の半導体デバイス。
  27. 前記第1のFETデバイスの前記S/D構造は、前記対向するダミーナノチャネル端面のうちの第1のものからエピタキシャル成長した材料を含み、前記第2のFETデバイスの前記S/D構造は、前記対向するダミーナノチャネル端面のうちの第2のものからエピタキシャル成長した材料を含む、請求項24に記載の半導体デバイス。
  28. 前記シングルディフュージョンブレークは、前記シングルディフュージョンブレーク内に設けられたセグメント化されたダミーナノチャネル構造を含む、請求項21に記載の半導体デバイス。
  29. 前記セグメント化されたダミーナノチャネル構造は、ナノワイヤの一部又はナノシートの一部から形成される、請求項28に記載の半導体デバイス。
  30. 前記セグメント化されたダミーナノチャネル構造は、ナノチャネル材料の第1及び第2のスタッドを含む、請求項28に記載の半導体デバイス。
  31. 前記第1のスタッドは、前記第1のFETデバイスのS/D領域に隣接する前記シングルディフュージョンブレークの一部に設けられ、前記第2のスタッドは、前記第2のFETデバイスのS/D領域に隣接する前記シングルディフュージョンブレークの一部に設けられる、請求項30に記載の半導体デバイス。
  32. 前記第1のスタッドは、前記第1のFETデバイスのS/D構造に接触し、前記第2のスタッドは、前記第2のFETデバイスのS/D構造に接触する、請求項31に記載の半導体デバイス。
  33. 前記シングルディフュージョンブレークは、前記第1及び第2のFETデバイスの前記S/D構造に接続されていない前記第1及び第2のスタッドの一部と接触する前記セグメント化されたダミーナノチャネル構造を囲む誘電体材料を更に含む、請求項31に記載の半導体デバイス。
  34. 前記第1のFETデバイスの前記S/D構造は、前記第1のスタッドからエピタキシャル成長した材料を含み、前記第2のFETデバイスの前記S/D構造は、前記第2のスタッドからエピタキシャル成長した材料を含む、請求項31に記載の半導体デバイス。
  35. 前記S/D構造のそれぞれは、第1のナノチャネル構造からエピタキシャル成長した第1の材料と、第2のナノチャネル構造からエピタキシャル成長した第2の材料とを含み、前記第1及び第2の材料がマージされてそれぞれのS/D構造を形成する、請求項21に記載の半導体デバイス。
  36. 前記S/D構造の少なくとも1つは、アクティブナノチャネル構造からエピタキシャル成長した第1の材料と、前記ダミーナノチャネル構造からエピタキシャル成長した第2の材料とを含む、請求項35に記載の半導体デバイス。
  37. 前記複数の電界効果トランジスタ(FET)デバイスは、前記作業面に沿った共通の下部平面内で前記基板上に設けられた下部FETデバイスであり、当該半導体デバイスは、前記FETデバイスの前記共通の下部平面上に垂直に積み重ねられた共通の上部平面内で前記基板上に設けられた複数の上部FETデバイスを更に含む、請求項21に記載の半導体デバイス。
  38. 前記下部FETデバイスはn型FETデバイスであり、前記上部FETデバイスはn型FETデバイスである、請求項37に記載の半導体デバイス。
  39. 前記下部FETデバイスは、相補型FET(CFET)構成で前記上部FETデバイスに接続される、請求項37に記載の半導体デバイス。
  40. 前記下部FETデバイス及び前記上部FETデバイスは、同じ極性タイプを有する、請求項37に記載の半導体デバイス。
JP2020508532A 2017-08-16 2018-08-16 Fetデバイスのナノチャネル構造にシングルディフュージョンブレークを組み込むための方法及びデバイス Active JP7019029B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762546549P 2017-08-16 2017-08-16
US62/546,549 2017-08-16
PCT/US2018/000218 WO2019035945A1 (en) 2017-08-16 2018-08-16 METHOD AND DEVICE FOR INCORPORATING SINGLE DIFFUSION BREAK IN NANOCANAL STRUCTURES OF FET DEVICES

Publications (2)

Publication Number Publication Date
JP2020532104A true JP2020532104A (ja) 2020-11-05
JP7019029B2 JP7019029B2 (ja) 2022-02-14

Family

ID=65361176

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020508532A Active JP7019029B2 (ja) 2017-08-16 2018-08-16 Fetデバイスのナノチャネル構造にシングルディフュージョンブレークを組み込むための方法及びデバイス

Country Status (6)

Country Link
US (2) US10734224B2 (ja)
JP (1) JP7019029B2 (ja)
KR (1) KR102325870B1 (ja)
CN (1) CN110998858A (ja)
TW (1) TWI751371B (ja)
WO (1) WO2019035945A1 (ja)

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10629679B2 (en) * 2017-08-31 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
CN109728080B (zh) * 2017-10-27 2023-04-07 联华电子股份有限公司 隧道场效应晶体管及其制作方法
US10727230B2 (en) * 2017-11-30 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated semiconductor device with 2D material layer
US11081567B2 (en) * 2018-03-12 2021-08-03 International Business Machines Corporation Replacement-channel fabrication of III-V nanosheet devices
US10431686B1 (en) * 2018-09-10 2019-10-01 Qualcomm Incorporated Integrated circuit (IC) employing a channel structure layout having an active semiconductor channel structure(s) and an isolated neighboring dummy semiconductor channel structure(s) for increased uniformity
US20200294969A1 (en) * 2019-03-15 2020-09-17 Intel Corporation Stacked transistors with dielectric between source/drain materials of different strata
DE102020105936B4 (de) 2019-04-15 2024-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung
US10971630B2 (en) 2019-04-24 2021-04-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure having both gate-all-around devices and planar devices
US11239339B2 (en) * 2019-04-29 2022-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure and method
US11195797B2 (en) 2019-05-21 2021-12-07 Samsung Electronics Co., Ltd. Applications of buried power rails
US10985161B2 (en) * 2019-05-31 2021-04-20 International Business Machines Corporation Single diffusion break isolation for gate-all-around field-effect transistor devices
US11233008B2 (en) 2019-06-19 2022-01-25 Samsung Electronics Co., Ltd. Method of manufacturing an integrated circuit with buried power rail
US11239244B2 (en) * 2019-06-27 2022-02-01 Taiwan Semiconductor Manufacturing Company Limited Partial buried insulator nano-sheet device
US11101217B2 (en) 2019-06-27 2021-08-24 International Business Machines Corporation Buried power rail for transistor devices
US11456368B2 (en) * 2019-08-22 2022-09-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with hard mask layer over fin structure and method for forming the same
US11264274B2 (en) 2019-09-27 2022-03-01 Tokyo Electron Limited Reverse contact and silicide process for three-dimensional logic devices
US11133310B2 (en) * 2019-10-03 2021-09-28 Tokyo Electron Limited Method of making multiple nano layer transistors to enhance a multiple stack CFET performance
US11322495B2 (en) * 2019-10-28 2022-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Complementary metal-oxide-semiconductor device and method of manufacturing the same
US11139213B2 (en) * 2019-11-13 2021-10-05 Tokyo Electron Limited Method of making 3D source drains with hybrid stacking for optimum 3D logic layout
US11908856B2 (en) * 2019-12-18 2024-02-20 Intel Corporation Gate-all-around integrated circuit structures having devices with source/drain-to-substrate electrical contact
US11164792B2 (en) 2020-01-08 2021-11-02 International Business Machines Corporation Complementary field-effect transistors
CN111384156B (zh) * 2020-01-21 2021-08-03 中国科学院微电子研究所 C形沟道部半导体器件及其制造方法及包括其的电子设备
US11164958B2 (en) 2020-01-27 2021-11-02 International Business Machines Corporation Nanosheet transistor having a strained channel with strain-preserving multi-segmented source/drain regions
US11145550B2 (en) 2020-03-05 2021-10-12 International Business Machines Corporation Dummy fin template to form a self-aligned metal contact for output of vertical transport field effect transistor
US11616151B2 (en) * 2020-05-26 2023-03-28 Taiwan Semiconductor Manufacturing Co., Ltd Channel configuration for improving multigate device performance and method of fabrication thereof
DE102021107950A1 (de) * 2020-05-28 2021-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zum fertigen von halbleiterbauelementen mit unterschiedlichen architekturen und damit gefertigte halbleiterbauelemente
US11862561B2 (en) * 2020-05-28 2024-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with backside routing and method of forming same
US11227922B2 (en) 2020-06-18 2022-01-18 International Business Machines Corporation Sloped epitaxy buried contact
US11742247B2 (en) 2020-07-17 2023-08-29 Synopsys, Inc. Epitaxial growth of source and drain materials in a complementary field effect transistor (CFET)
US11915984B2 (en) * 2020-07-17 2024-02-27 Synopsys, Inc. Forming a wrap-around contact to connect a source or drain epitaxial growth of a complimentary field effect transistor (CFET) to a buried power rail (BPR) of the CFET
US11862701B2 (en) 2020-07-31 2024-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Stacked multi-gate structure and methods of fabricating the same
US11670677B2 (en) 2020-10-02 2023-06-06 Samsung Electronics Co., Ltd. Crossing multi-stack nanosheet structure and method of manufacturing the same
US11521927B2 (en) 2020-11-10 2022-12-06 International Business Machines Corporation Buried power rail for scaled vertical transport field effect transistor
US11437480B2 (en) * 2020-11-13 2022-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Forming a cavity with a wet etch for backside contact formation
US11355640B1 (en) 2020-11-16 2022-06-07 Samsung Electronics Co., Ltd. Hybrid multi-stack semiconductor device including self-aligned channel structure and method of manufacturing the same
US11569361B2 (en) * 2020-12-31 2023-01-31 International Business Machines Corporation Nanosheet transistors with wrap around contact
US12009261B2 (en) * 2021-02-05 2024-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. Nanosheet devices with hybrid structures and methods of fabricating the same
US20220336473A1 (en) * 2021-04-14 2022-10-20 Samsung Electronics Co., Ltd. Selective double diffusion break structures for multi-stack semiconductor device
US11710768B2 (en) * 2021-05-26 2023-07-25 International Business Machines Corporation Hybrid diffusion break with EUV gate patterning
US11984401B2 (en) 2021-06-22 2024-05-14 International Business Machines Corporation Stacked FET integration with BSPDN
US11791199B2 (en) 2021-08-19 2023-10-17 International Business Machines Corporation Nanosheet IC device with single diffusion break
US20230087690A1 (en) * 2021-09-20 2023-03-23 International Business Machines Corporation Semiconductor structures with power rail disposed under active gate
US20240113111A1 (en) * 2022-09-29 2024-04-04 Intel Corporation Integrated circuit structures having fin isolation regions recessed for gate contact
CN116666439B (zh) * 2023-04-20 2024-04-26 中国科学院微电子研究所 具有连续栅长的竖直半导体器件及其制造方法及电子设备

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8846491B1 (en) * 2013-06-19 2014-09-30 Globalfoundries Inc. Forming a diffusion break during a RMG process
US20160163604A1 (en) * 2014-12-05 2016-06-09 Globalfoundries Inc. Methods of forming diffusion breaks on integrated circuit products comprised of finfet devices and the resulting products
US20160190130A1 (en) * 2014-12-29 2016-06-30 Globalfoundries Inc. Method for forming single diffusion breaks between finfet devices and the resulting devices
US9570442B1 (en) * 2016-04-20 2017-02-14 Qualcomm Incorporated Applying channel stress to Fin field-effect transistors (FETs) (FinFETs) using a self-aligned single diffusion break (SDB) isolation structure
US20170053980A1 (en) * 2015-08-20 2017-02-23 United Microelectronics Corp. Semiconductive device with a single diffusion break and method of fabricating the same
US20170141211A1 (en) * 2015-11-16 2017-05-18 Globalfoundries Inc. Single and double diffusion breaks on integrated circuit products comprised of finfet devices

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8772109B2 (en) * 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
KR102025309B1 (ko) * 2013-08-22 2019-09-25 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US20150123211A1 (en) * 2013-11-04 2015-05-07 Globalfoundries Inc. NARROW DIFFUSION BREAK FOR A FIN FIELD EFFECT (FinFET) TRANSISTOR DEVICE
US9228994B1 (en) * 2014-08-06 2016-01-05 Globalfoundries Inc. Nanochannel electrode devices
US9806154B2 (en) * 2015-01-20 2017-10-31 Taiwan Semiconductor Manufacturing Company Ltd. FinFET structure and method for manufacturing thereof
US9577101B2 (en) * 2015-03-13 2017-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain regions for fin field effect transistors and methods of forming same
KR102375846B1 (ko) * 2015-06-26 2022-03-17 인텔 코포레이션 게이트-올-어라운드 트랜지스터들을 위한 gaas 상의 부정형 ingaas
US9536980B1 (en) * 2015-07-28 2017-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Gate spacers and methods of forming same
US9721793B2 (en) 2015-08-07 2017-08-01 Tokyo Electron Limited Method of patterning without dummy gates
US10340348B2 (en) * 2015-11-30 2019-07-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing finFETs with self-align contacts
US9978748B2 (en) 2015-12-09 2018-05-22 International Business Machines Corporation Method of cutting fins to create diffusion breaks for finFETs
US9786505B2 (en) * 2015-12-30 2017-10-10 Taiwan Semiconductor Manufacturing Co., Ltd. FinFET device using dummy fins for smooth profiling
US9653583B1 (en) * 2016-08-02 2017-05-16 Globalfoundries Inc. Methods of forming diffusion breaks on integrated circuit products comprised of finFET devices
WO2018031528A1 (en) 2016-08-08 2018-02-15 Tokyo Electron Limited Three-dimensional semiconductor device and method of fabrication
US10026821B2 (en) * 2016-08-30 2018-07-17 Stmicroelectronics (Crolles 2) Sas All-around gate field-effect transistor
US9653464B1 (en) * 2016-09-14 2017-05-16 International Business Machines Corporation Asymmetric band gap junctions in narrow band gap MOSFET

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8846491B1 (en) * 2013-06-19 2014-09-30 Globalfoundries Inc. Forming a diffusion break during a RMG process
US20160163604A1 (en) * 2014-12-05 2016-06-09 Globalfoundries Inc. Methods of forming diffusion breaks on integrated circuit products comprised of finfet devices and the resulting products
US20160190130A1 (en) * 2014-12-29 2016-06-30 Globalfoundries Inc. Method for forming single diffusion breaks between finfet devices and the resulting devices
US20170053980A1 (en) * 2015-08-20 2017-02-23 United Microelectronics Corp. Semiconductive device with a single diffusion break and method of fabricating the same
US20170141211A1 (en) * 2015-11-16 2017-05-18 Globalfoundries Inc. Single and double diffusion breaks on integrated circuit products comprised of finfet devices
US9570442B1 (en) * 2016-04-20 2017-02-14 Qualcomm Incorporated Applying channel stress to Fin field-effect transistors (FETs) (FinFETs) using a self-aligned single diffusion break (SDB) isolation structure

Also Published As

Publication number Publication date
TW201921454A (zh) 2019-06-01
TWI751371B (zh) 2022-01-01
KR102325870B1 (ko) 2021-11-11
CN110998858A (zh) 2020-04-10
US20190058036A1 (en) 2019-02-21
US10734224B2 (en) 2020-08-04
US10388519B2 (en) 2019-08-20
US20190057867A1 (en) 2019-02-21
JP7019029B2 (ja) 2022-02-14
WO2019035945A1 (en) 2019-02-21
KR20200032224A (ko) 2020-03-25

Similar Documents

Publication Publication Date Title
JP7019029B2 (ja) Fetデバイスのナノチャネル構造にシングルディフュージョンブレークを組み込むための方法及びデバイス
CN110800113B (zh) 埋入式电力轨道
US7736980B2 (en) Vertical gated access transistor
US8772840B2 (en) Memory device comprising an array portion and a logic portion
TWI466293B (zh) 具有金屬閘極堆疊之積體電路與其形成方法
US8421139B2 (en) Structure and method to integrate embedded DRAM with finfet
CN103606559A (zh) 半导体装置的制造方法
CN113725278A (zh) 半导体装置
US9711567B2 (en) Process for fabricating an integrated circuit cointegrating a FET transistor and an OxRAM memory location
US20230035444A1 (en) Improved Via Structures
US11201093B2 (en) Method of manufacturing a semiconductor device including the horizontal channel FET and the vertical channel FET
EP4391037A1 (en) A method for forming a semiconductor device
KR100495664B1 (ko) 핀 구조 전계 트랜지스터 형성 방법
CN113130483A (zh) 半导体结构

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200520

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200520

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210810

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210910

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220104

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220201

R150 Certificate of patent or registration of utility model

Ref document number: 7019029

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150