JP2019530223A - 総直列抵抗が低減されたFinFET - Google Patents

総直列抵抗が低減されたFinFET Download PDF

Info

Publication number
JP2019530223A
JP2019530223A JP2019513079A JP2019513079A JP2019530223A JP 2019530223 A JP2019530223 A JP 2019530223A JP 2019513079 A JP2019513079 A JP 2019513079A JP 2019513079 A JP2019513079 A JP 2019513079A JP 2019530223 A JP2019530223 A JP 2019530223A
Authority
JP
Japan
Prior art keywords
type
finfet device
recess
silicon
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2019513079A
Other languages
English (en)
Other versions
JP2019530223A5 (ja
JP7041126B2 (ja
Inventor
ウクジン・ロー
シャシャンク・エクボテ
Original Assignee
クアルコム,インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by クアルコム,インコーポレイテッド filed Critical クアルコム,インコーポレイテッド
Publication of JP2019530223A publication Critical patent/JP2019530223A/ja
Publication of JP2019530223A5 publication Critical patent/JP2019530223A5/ja
Application granted granted Critical
Publication of JP7041126B2 publication Critical patent/JP7041126B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/167Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table further characterised by the doping material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)

Abstract

選択的エピタキシャル成長が、n型FinFETデバイスに対するシリコンフィン内のエッチングされた凹部を充填するためにヘテロ構造ソース/ドレイン領域を形成するために使用される。

Description

関連出願の参照
本出願は、その全体が参照により本明細書に組み込まれている、2016年9月13日に出願した米国特許出願第15/264,519号の優先権を主張する。
本出願は、一般にトランジスタに関し、より詳細には、直列総抵抗が低減されたフィン形電界効果トランジスタに関する。
フィン形電界効果(FinFET)トランジスタ内のソースおよびドレインコンタクトの形成は、いくつかの障害を克服しなければならない。ユーザは、コンタクトの高濃度ドーピングによって満たされる低オン抵抗を必要とする。しかし、そのような高濃度ドーピングは、チャネルを過度に短くし、それによりリークが問題となる。低オン抵抗をもたらすためには、フィン凹部内に得られた選択的エピタキシャル成長(SEG)堆積によってフィンを広げるために、SEGを使用してフィン内にエッチングされた凹部内に各ソース/ドレイン(S/D)コンタクトを形成することが、従来から行われている。
SEG堆積は、SEG堆積が凹部内のフィンの平面形状を呈するのではなく、輪郭の傾斜面がファセットと呼ばれる、ダイヤモンド形の輪郭を呈するように、いくつかの結晶面に有利に働く。ファセットは、SEG堆積が隣接するフィンを融合またはマージさせ得るように、フィンから横方向に延びる。したがって、SEG堆積は、隣接するFinFET間のフィン融合を防止するために小さい拡散長(LOD:length-of-diffusion)を有する設計に対して低減されなければならず、それにより所望のオン抵抗の低減が制限される。加えて、不安定なコンタクトランディング(landing)は、最小LODデバイスのファセット領域内の抵抗を悪化させることがある。その上、フィン側壁面は、一般的に、n型FinFETに対して<110>の結晶方位を有する。これは、駆動能力にとって好ましい方向ではない。したがって、n型FinFETに対するオン抵抗および駆動能力の問題は、解決されていない。
したがって、当技術分野では、オン抵抗が低減された、改善されたFinFETが必要である。
ヘテロ構造ソース/ドレイン領域は、ソース/ドレイン総直列抵抗および接触抵抗率を低減するために、選択的エピタキシャル成長(SEG)プロセスを介してn型FinFETのフィン内に設けられる。SEG堆積は、ゲートスペーサに隣接するフィン内のエッチングされた凹部内に発生し、凹部にライニングするシリコンバッファ層を含む。シリコンゲルマニウムエピタキシャル層は、バッファ層を覆う。最後に、in-situ n型ドープシリコンキャップ層は、ヘテロ構造堆積を完了するためにシリコンゲルマニウム層の上にSEG堆積される。キャップ層とは対照的に、シリコンゲルマニウムおよびバッファ層は、アンドープ状態において堆積され得る。
エピタキシャル層を堆積した後、イオン注入ステップが、リンなど、第1の濃度のn型ドーパントでシリコンゲルマニウム層をドープし、ヒ素など、第2の濃度のn型ドーパントでキャップ層を注入し、ここで第2の濃度は第1の濃度より大きい。得られたFinFETは、短チャネル効果およびリークを最小にしながら、総直列抵抗および接触抵抗率を低減している。
これらおよび追加の利点は、以下の発明を実施するための形態を通してより十分に理解され得る。
凹部を形成するために除去されることになるフィン領域を示すFinFETデバイスの斜視図である。 異方性凹部形成の後の図1AのFinFETデバイスの側面図である。 等方性凹部形成の後のSOI FinFETデバイスの側面図である。 本開示の一態様による、ヘテロ構造ソース/ドレイン領域が選択的エピタキシャル成長プロセスを介して凹部内に堆積された後の図1BのFinFETデバイスの側面図である。 ソース/ドレイン領域の注入ドーピング後の図2のFinFETデバイスの側面図である。 ソース/ドレイン領域のアニーリングの後の図3のFinFETデバイスの側面図である。 本開示の一態様による、ヘテロ構造ソース/ドレイン領域を有するFinFETを製造する方法のフローチャートである。 図4のFinFETデバイスを組み込む例示的なデバイスのブロック図である。
本発明の実施形態およびそれらの利点は、以下の詳細な説明を参照することによって最も良く理解される。同じ参照番号は、図のうちの1つまたは複数に示された同じ要素を識別するために使用されることを諒解されたい。
総直列抵抗を低減し、改善された電流駆動能力を提示するn型FinFETデバイスに対するヘテロ構造ソース/ドレイン領域が提供される。ヘテロ構造ソース/ドレイン領域は、ゲートスペーサに隣接するシリコンフィン内の凹部を少なくとも3つのエピタキシャル層で充填するために、選択的エピタキシャル成長(SEG)プロセスを使用して堆積される。ヘテロ構造ソース/ドレイン領域に対するアンドープシリコンの第1のエピタキシャルバッファ層が、凹部にライニングする。バッファ層を最初に覆うアンドープシリコンゲルマニウム(SiGe)の第2のエピタキシャル層が、リン(Ph)で注入ドープされる。第3の(キャップ)エピタキシャル層がSiGe層を覆い、リンでin-situドープされ、同じく、ヒ素(As)で注入ドープされる。
SiGeエピタキシャル層の注入ドーピングのエネルギーは、リンドーピングの射影飛程(Rp:projected range)が、キャップエピタキシャル層とのその接触面に隣接するSiGeエピタキシャル層内に存在するように制御される。同様に、キャップエピタキシャル層内のヒ素注入のエネルギーは、ヒ素ドーピングの射影飛程がキャップエピタキシャル層内に存在し、SiGeエピタキシャル層内に貫通しないように制御される。得られたキャップエピタキシャル層のドーピングは、SiGeエピタキシャル層内のn型ドーパント濃度と比較して、より高いn型ドーパント濃度をキャップエピタキシャル層内にもたらす。FinFETデバイスに対するヘテロ構造ソース/ドレイン領域は、SiGeエピタキシャル層が低ソースドレイン抵抗を提供する一方で、キャップエピタキシャル層が接触抵抗率を低減するという点で極めて有利である。加えて、ヘテロ構造ソース/ドレイン領域のドーピングは、短チャネル効果を最小化するために浅い接合深さを提供する。これらの有利な特性は、以下の例示的な実施形態を通して一層良く諒解されるであろう。
バルクFinFETとシリコンオンインシュレータ(SOI)FinFETの両アーキテクチャは、本明細書で開示するヘテロ構造ソース/ドレイン領域から利益を得る。シリコンフィン110内のソース/ドレイン凹部115をエッチングする前のバルクFinFET100が、図1Aに示される。シリコンフィン110は、バルクシリコン基板105からエッチングされ、シャロートレンチ分離領域140によって分離される。ゲート電極120(たとえば、ポリシリコン、金属炭化物、金属窒化物、金属シリサイド、またはFinFET分野で知られている他の好適な材料)ならびにスペーサ(たとえば、窒化ケイ素、酸化ケイ素、または他の好適な誘電体材料)130および125が、フィン110上に形成される。フィン110の側壁は、n型FinFETに対して従来のように<110>の結晶方位を有し得る。他の方位が、代替実施形態において使用されてもよい。フィンおよびゲートが形成されて、凹部115が、図1Bに示されるようにエッチングされる。ウェットエッチまたはドライエッチのいずれが使用されてもよい。反応性イオンエッチ(RIE)プロセスなどのドライエッチは、凹部115の側方縁部がスペーサ130(または125)の側方境界部と面一であるか、または位置合わせされるように異方性エッチングを形成する。代替的に、凹部115はウェットエッチされてもよく、それにより凹部115は、基板105からフィン110を分離する埋込み酸化物層160を含むシリコンオンインシュレータ(SOI)アーキテクチャに対して、図1Cに示すようにスペーサ130を等方的にアンダーカットする。一般に、エッチングは、図1Cに示すように、ゲート電極120およびそれらの対応するスペーサ130のペアの間で行われる。説明を明確にするために、図1Bは、ゲート電極120およびスペーサ130を1つだけ示している。
凹部115を形成するために、等方性エッチングプロセスが使用されるかまたは異方性エッチングプロセスが使用されるかにかかわらず、凹部115は、次いで、選択的エピタキシャル成長プロセスを使用して、図2に示すように、第1のエピタキシャルアンドープシリコンバッファ層200でライニングされる。凹部115の深さおよびバッファ層200の厚さは、特定のプロセスノードに依存する。14nm技術ノードに対して、フィン110の上面からの凹部115の深さは、一実施形態では45nmである。同様に、バッファ層200の深さは、14nm技術ノードでは約8nmであり得る。バッファ層200は接合リークを最小化し、チャネルおよびボディへの拡散率を制御するように働く。加えて、バッファ層200は、得られたn型FinFETデバイスに対する冶金学的接合における欠陥を最小化する。
次いで、アンドープエピタキシャルSiGe層205が、凹部115内のバッファ層200の上にSEG堆積される。n型FinFETに対するヘテロ構造ソース/ドレインにおけるSiGeの使用は、それが一般的にp型FinFETのひずみエンジニアリングのために使用されるという点において直観に反するが、本明細書では、n型FinFETにおけるその使用は、フィン110内で得られたソース/ドレイン領域の固有のバルク抵抗率を最小化することを見いだした。その点において、シリコンゲルマニウムの固有のバルク抵抗は、アニーリング温度にかかわらず、シリコンより際立って低い。たとえば、700℃のアニーリング温度において、リンドープSiGeのシート抵抗(オーム/平方センチメートル)は約30オーム/平方センチメートルである一方で、ポリシリコンは約300オーム/平方センチメートルのシート抵抗を有する。SiGe層205の厚さは技術ノードに依存するが、14nm技術ノード実施形態では、15nm超または20nm超でさえもあり得る。そのような厚さは、残留ひずみ緩和をもたらす。最後に、エピタキシャルシリコンキャップ層210は、凹部115に対するヘテロ構造ソース/ドレイン堆積を完了するために、SiGe層205の上にSEG堆積される。キャップ層210の厚さもまた技術プロセスノードに依存し、14nm技術プロセスノード実施形態に対して17nm未満の厚さであり得る。他の層とは異なり、キャップ層210は、SEG堆積の間にリンなどのn型ドーパントでin-situドープされる。選択的エピタキシャル成長は、いくつかの結晶方位において好ましいので、凹部115内のSEG堆積は、当然ながらファセットを形成することになる。したがって、SEG堆積は、1つのフィン110からのファセットが近隣のフィン110上のファセットと短絡しないように制御されなければならない。本明細書で開示するヘテロ構造SEG堆積は、そのようなファセット過成長を防止するためにSEG堆積が制限されるにもかかわらず、低減された総直列抵抗および接触抵抗率をもたらす。
次いで、イオン注入プロセスが、図3に示すように、シリコンゲルマニウム層205およびキャップ層210をドープするために使用される。シリコンゲルマニウム内のヒ素およびリンのドーパントの拡散率は、シリコン内より速いことに留意されたい。したがって、シリコンゲルマニウム層205内のそのようなドーパントの拡散率は、シリコンキャップ層210内の拡散率と比較してより大きいことになる。拡散がシリコンゲルマニウム内で発生するかシリコン内で発生するかにかかわらず、リンはかなり低いモル質量を有するので、リンの拡散はヒ素の拡散と比較してより速く発生することにも留意されたい。したがって、ヒ素イオン注入は、リンイオン注入と比較してより小さい距離にわたって拡散することになる。それゆえ、ヒ素は、チャネルへの過度の拡散による短チャネル効果を最小化するための、キャップ層210に注入されるn型ドーパントである。たとえば、ヒ素射影飛程300がキャップ層210内に留まってシリコンゲルマニウム層205の中に延びることのないことを確実にするために、ヒ素は、約3K電子ボルトのエネルギーを使用して注入され得る。得られたヒ素注入は、FinFETデバイスに対する接触抵抗が低減されるように、キャップ層210に対するショットキー障壁高さ(SBH)を引き下げる。その点において、低減されたドレイン誘起障壁低下(DIBL:drain induced barrier lowering)を達成するために、フィン110の幅は、ゲート電極120の長さより短くあるべきである。フィン110に対するそのような比較的狭い幅によって、低減された接触抵抗率を達成することが問題になる。しかし、凹部115内のヘテロ構造SEG堆積は、従来技術を悩ませた接触抵抗率問題を解決する。
ヒ素注入と比較して、リンに対する注入エネルギーは、リン射影飛程305がシリコンゲルマニウム層205内でかつキャップ層210の下にあることを確実にするのに十分にロバストであるべきである。このようにして、比較的速く拡散するリンは、任意の著しい濃度でキャップ層210内に注入することを妨げられ、それにより、リンはチャネル内に拡散せず、得られたn型FinFETデバイスに対する短チャネル効果を悪化させることはない。たとえば、リン注入に対する約6K電子ボルトの注入エネルギーが、リン射影飛程305に対して適切な深さを確保するために使用され得る。
イオン注入は、フィン110内の結晶格子にかなりの損傷を与え、損傷がアモルファス結晶方位をとらせることさえもあり得る。したがって、ソース/ドレイン領域は、イオン注入の後にアニーリングされ、それにより結晶格子は、たとえば、固相または液相再成長を介して再結晶してもよい。イオン注入自体は、ホットイオン注入プロセスなどにおいてアニーリングプロセスと組み合わされてもよい。図4において、イオン注入およびアニーリングの後のn型FinFETデバイス400が示されている。ヒ素およびリンイオンの側方拡散によって、バッファ層200の側方の消失が生じており、バッファ層200は今や、凹部115の下方においてのみ存在する。シリコンゲルマニウム層205は側方に拡散してn+ドープされる一方で、キャップ層210はn++ドープされる。凹部115内で得られたバッファ層200と、n+ドープシリコンゲルマニウム層205と、n++ドープシリコンキャップ層210との組合せは、一実施形態では、n型FinFETデバイスに対する総直列抵抗および接触抵抗を低減するために凹部内にヘテロ構造手段を形成するためであると見なされてもよく、ヘテロ構造手段はn型ドープシリコンゲルマニウム層を含む。
ここで、FinFET400内などのFinFETソース/ドレイン領域のための製造方法が、図5のフローチャートに関して論じられる。方法は、ゲートスペーサに隣接するn型FinFETデバイスに対してシリコンフィン内で凹部をエッチングするステップ500から始まる。方法は、凹部にライニングするためにシリコンバッファ層200をエピタキシャル堆積させる行為505をさらに含む。方法はまた、凹部内のシリコンバッファ層の上にシリコンゲルマニウム層をエピタキシャル堆積させる行為510を含む。最後に、方法は、シリコンゲルマニウム層の上にシリコンキャップ層をエピタキシャル堆積させる行為515を含む。
本明細書で開示する低減された総直列抵抗および接触抵抗率は、図6に示すデバイス600において、システムオンチップ(SoC)605内のn型FinFETに対して有利に採用され得る。デバイス600は、携帯電話、スマートフォン、携帯情報端末、タブレットコンピュータ、ラップトップコンピュータ、デジタルカメラ、携帯ゲームデバイス、または他の適切なデバイスを備えてもよい。SoC605は、DRAMなどのメモリ620およびディスプレイコントローラ625にも結合するシステムバス615を介してセンサなどの複数の周辺デバイス610と通信する。そして、ディスプレイコントローラ625はディスプレイ635を駆動するビデオプロセッサ630に結合する。
当業者には現時点で諒解されるように、目下の特定の応用例に応じて、本開示のデバイスの材料、装置、構成および使用方法において、また、それらに対して、多くの修正、代替、および変形を、その範囲から逸脱することなく行うことができる。このことに照らして、本明細書で示し、説明した特定の実施形態は、それらのいくつかの例によるものにすぎないため、本開示の範囲はそのような特定の実施形態の範囲に限定されるべきではなく、むしろ、下記に添付される特許請求の範囲およびそれらの機能的な均等物の範囲と完全に同じであるべきである。
100 バルクFinFET
105 バルクシリコン基板
110 シリコンフィン
115 ソース/ドレイン凹部
120 ゲート電極
125 スペーサ
130 スペーサ
140 シャロートレンチ分離領域
160 埋込み酸化物層
200 第1のエピタキシャルアンドープシリコンバッファ層
205 アンドープエピタキシャルシリコンゲルマニウム(SiGe)層
210 エピタキシャルシリコンキャップ層
300 ヒ素射影飛程
305 リン射影飛程
400 n型FinFETデバイス
600 デバイス
605 システムオンチップ(SoC)
610 周辺デバイス
615 システムバス
620 メモリ
625 ディスプレイコントローラ
630 ビデオプロセッサ
635 ディスプレイ
次いで、アンドープエピタキシャルSiGe層205が、凹部115内のバッファ層200の上にSEG堆積される。n型FinFETに対するヘテロ構造ソース/ドレインにおけるSiGeの使用は、それが一般的にp型FinFETのひずみエンジニアリングのために使用されるという点において直観に反するが、本明細書では、n型FinFETにおけるその使用は、フィン110内で得られたソース/ドレイン領域の固有のバルク抵抗率を最小化することを見いだした。その点において、シリコンゲルマニウムの固有のバルク抵抗は、アニーリング温度にかかわらず、シリコンより際立って低い。たとえば、700℃のアニーリング温度において、リンドープSiGeのシート抵抗(オーム/平方センチメートル)は約30オーム/平方センチメートルである一方で、ポリシリコンは約300オーム/平方センチメートルのシート抵抗を有する。SiGe層205の厚さは技術ノードに依存するが、14nm技術ノード実施形態では、15nm超または20nm超でさえもあり得る。そのような厚さは、残留ひずみ緩和をもたらす。最後に、エピタキシャルシリコンキャップ層210は、凹部115内のヘテロ構造ソース/ドレイン堆積を完了するために、SiGe層205の上にSEG堆積される。キャップ層210の厚さもまた技術プロセスノードに依存し、14nm技術プロセスノード実施形態に対して17nm未満の厚さであり得る。他の層とは異なり、キャップ層210は、SEG堆積の間にリンなどのn型ドーパントでin-situドープされる。選択的エピタキシャル成長は、いくつかの結晶方位において好ましいので、凹部115内のSEG堆積は、当然ながらファセットを形成することになる。したがって、SEG堆積は、1つのフィン110からのファセットが近隣のフィン110上のファセットと短絡しないように制御されなければならない。本明細書で開示するヘテロ構造SEG堆積は、そのようなファセット過成長を防止するためにSEG堆積が制限されるにもかかわらず、低減された総直列抵抗および接触抵抗率をもたらす。

Claims (27)

  1. スペーサを有するゲートと、
    前記スペーサに隣接する凹部を有するシリコンフィンと、
    前記凹部内のn型ドープシリコンゲルマニウム層と、
    前記n型ドープシリコンゲルマニウム層を覆うn型ドープシリコンキャップ層とを備える、n型FinFETデバイス。
  2. 前記凹部が、前記スペーサの側方縁部と位置合わせされた側方縁部を有する、請求項1に記載のn型FinFETデバイス。
  3. 前記凹部が、前記スペーサの側方縁部をアンダーカットする側方縁部を有する、請求項1に記載のn型FinFETデバイス。
  4. 前記シリコンフィンに隣接するシャロートレンチ分離領域をさらに備える、請求項1に記載のn型FinFETデバイス。
  5. 前記シリコンフィンの下に埋込み酸化物領域をさらに備える、請求項1に記載のn型FinFETデバイス。
  6. 前記凹部にライニングするシリコンバッファ層をさらに備え、前記n型ドープシリコンゲルマニウム層が、前記シリコンバッファ層と前記n型ドープシリコンキャップ層との間にある、請求項1に記載のn型FinFETデバイス。
  7. 前記シリコンバッファ層、前記n型ドープシリコンゲルマニウム層、および前記n型ドープシリコンキャップ層がすべて、選択的エピタキシャル成長層である、請求項6に記載のn型FinFETデバイス。
  8. 前記n型ドープシリコンキャップ層がヒ素およびリンでドープされ、前記n型ドープシリコンゲルマニウム層がリンでドープされる、請求項6に記載のn型FinFETデバイス。
  9. 前記n型ドープシリコンゲルマニウム層内の前記リンドーピングが、前記n型ドープシリコンゲルマニウム層と前記n型ドープシリコンキャップ層との間の接触面に隣接する前記n型ドープシリコンゲルマニウム層内でピークに達する射影飛程を有するイオン注入である、請求項8に記載のn型FinFETデバイス。
  10. 前記n型ドープシリコンキャップ層内の前記ヒ素ドーピングが、前記n型ドープシリコンキャップ層内でピークに達する射影飛程を有するイオン注入である、請求項8に記載のn型FinFETデバイス。
  11. 前記n型ドープシリコンキャップ層内の前記リンドーピングが、in-situリンドーピングである、請求項8に記載のn型FinFETデバイス。
  12. 前記凹部が丸形の底部を有する、請求項1に記載のn型FinFETデバイス。
  13. 前記n型ドープシリコンキャップ層に対するn型ドーパント濃度が、前記n型ドープシリコンゲルマニウム層に対するn型ドーパントの濃度より大きい、請求項1に記載のn型FinFETデバイス。
  14. 前記n型FinFETデバイスが、携帯電話、スマートフォン、携帯情報端末、タブレットコンピュータ、ラップトップコンピュータ、デジタルカメラ、および携帯ゲームデバイスからなる群から選択されたデバイスに含まれる、請求項1に記載のn型FinFETデバイス。
  15. FinFETデバイスを製造する方法であって、
    ゲートスペーサに隣接するn型FinFETデバイスに対してシリコンフィン内で凹部をエッチングするステップと、
    前記凹部にライニングするためにシリコンバッファ層をエピタキシャル堆積させるステップと、
    前記凹部内の前記シリコンバッファ層の上にシリコンゲルマニウム層をエピタキシャル堆積させるステップと、
    前記シリコンゲルマニウム層の上にシリコンキャップ層をエピタキシャル堆積させるステップとを含む、方法。
  16. 前記シリコンキャップ層をエピタキシャル堆積させるステップが、n型ドーパントで前記シリコンキャップ層をin-situドーピングするステップをさらに含む、請求項15に記載の方法。
  17. 前記n型ドーパントがリンである、請求項16に記載の方法。
  18. 前記シリコンゲルマニウム層をエピタキシャル堆積させるステップが、前記シリコンゲルマニウム層のin-situドーピングを含まない、請求項15に記載の方法。
  19. 前記凹部をエッチングするステップが、前記ゲートスペーサの側方縁部と位置合わせされた側方縁部を有するように前記凹部を異方的にエッチングする反応性イオンエッチングである、請求項15に記載の方法。
  20. 前記凹部をエッチングするステップが、前記ゲートスペーサの側方縁部をアンダーカットする側方縁部を有するように前記凹部を等方的にエッチングするウェットエッチングである、請求項15に記載の方法。
  21. 前記シリコンキャップ層にヒ素をイオン注入するステップと、
    前記シリコンゲルマニウム層にリンをイオン注入するステップとをさらに含む、請求項15に記載の方法。
  22. 前記イオン注入に続いて前記シリコンキャップ層および前記シリコンゲルマニウム層をアニーリングするステップをさらに含む、請求項21に記載の方法。
  23. スペーサを有するゲートと、
    前記スペーサに隣接する凹部を有するシリコンフィンと、
    前記n型FinFETデバイスに対する総直列抵抗および接触抵抗を低減するために前記凹部を充填するヘテロ構造手段とを備え、前記ヘテロ構造手段がn型ドープシリコンゲルマニウム層を含む、n型FinFETデバイス。
  24. 前記凹部が、前記スペーサの側方縁部と位置合わせされた側方縁部を有する、請求項23に記載のn型FinFETデバイス。
  25. 前記凹部が、前記スペーサの側方縁部をアンダーカットする側方縁部を有する、請求項23に記載のn型FinFETデバイス。
  26. 前記シリコンフィンに隣接するシャロートレンチ分離領域をさらに備える、請求項23に記載のn型FinFETデバイス。
  27. 前記シリコンフィンの下に埋込み酸化物領域をさらに備える、請求項23に記載のn型FinFETデバイス。
JP2019513079A 2016-09-13 2017-08-08 総直列抵抗が低減されたFinFET Active JP7041126B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US15/264,519 2016-09-13
US15/264,519 US10304957B2 (en) 2016-09-13 2016-09-13 FinFET with reduced series total resistance
PCT/US2017/045972 WO2018052578A1 (en) 2016-09-13 2017-08-08 Finfet with reduced series total resistance

Publications (3)

Publication Number Publication Date
JP2019530223A true JP2019530223A (ja) 2019-10-17
JP2019530223A5 JP2019530223A5 (ja) 2020-08-27
JP7041126B2 JP7041126B2 (ja) 2022-03-23

Family

ID=59631888

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019513079A Active JP7041126B2 (ja) 2016-09-13 2017-08-08 総直列抵抗が低減されたFinFET

Country Status (9)

Country Link
US (1) US10304957B2 (ja)
EP (1) EP3513436A1 (ja)
JP (1) JP7041126B2 (ja)
KR (1) KR102541383B1 (ja)
CN (1) CN109690787A (ja)
BR (1) BR112019004441B1 (ja)
CA (1) CA3032959A1 (ja)
TW (1) TWI737787B (ja)
WO (1) WO2018052578A1 (ja)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10658510B2 (en) * 2018-06-27 2020-05-19 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain structure
US11309404B2 (en) 2018-07-05 2022-04-19 Applied Materials, Inc. Integrated CMOS source drain formation with advanced control
US10867861B2 (en) * 2018-09-28 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method of forming the same
US11177346B2 (en) 2019-01-07 2021-11-16 Samsung Electronics Co., Ltd. Semiconductor device
US11222980B2 (en) * 2019-07-18 2022-01-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10978344B2 (en) * 2019-08-23 2021-04-13 Taiwan Semiconductor Manufacturing Company, Ltd. Melting laser anneal of epitaxy regions
US11955482B2 (en) * 2020-05-18 2024-04-09 Intel Corporation Source or drain structures with high phosphorous dopant concentration
US11935793B2 (en) * 2020-05-29 2024-03-19 Taiwan Semiconductor Manufacturing Co., Ltd. Dual dopant source/drain regions and methods of forming same
US20220051945A1 (en) * 2020-08-13 2022-02-17 Taiwan Semiconductor Manufacturing Co., Ltd. Embedded Stressors in Epitaxy Source/Drain Regions
US11482594B2 (en) 2020-08-27 2022-10-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with backside power rail and method thereof
CN114256323A (zh) * 2020-09-21 2022-03-29 联华电子股份有限公司 高电压晶体管结构及其制造方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005101278A (ja) * 2003-09-25 2005-04-14 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
JP2009517867A (ja) * 2005-12-27 2009-04-30 インテル・コーポレーション リセスのあるストレイン領域を有すマルチゲートデバイス
JP2011103450A (ja) * 2009-10-01 2011-05-26 Taiwan Semiconductor Manufacturing Co Ltd Finfetsおよびその形成方法
US20110287600A1 (en) * 2010-05-20 2011-11-24 Taiwan Semiconductor Manufacturing Company, Ltd. Selective Etching in the Formation of Epitaxy Regions in MOS Devices
US20130020612A1 (en) * 2011-07-22 2013-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Re-growing Source/Drain Regions from Un-Relaxed Silicon Layer
US20140349467A1 (en) * 2013-05-27 2014-11-27 United Microelectronics Corp. Semiconductor process
JP2017011272A (ja) * 2015-06-22 2017-01-12 グローバルファウンドリーズ・インコーポレイテッド finFET構造を形成する方法、半導体基板とfinFETトランジスタを提供する方法、およびfinFETトランジスタ

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102005041225B3 (de) * 2005-08-31 2007-04-26 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung vertiefter verformter Drain/Source-Gebiete in NMOS- und PMOS-Transistoren
US7608515B2 (en) 2006-02-14 2009-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Diffusion layer for stressed semiconductor devices
KR100809327B1 (ko) * 2006-08-10 2008-03-05 삼성전자주식회사 반도체 소자 및 그 제조방법
JP5100137B2 (ja) * 2007-01-26 2012-12-19 株式会社東芝 半導体装置の製造方法および半導体装置
CN101106079A (zh) * 2007-04-26 2008-01-16 河北普兴电子科技股份有限公司 硅锗材料的一种生长方法
US7939889B2 (en) 2007-10-16 2011-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing resistance in source and drain regions of FinFETs
US20090152590A1 (en) 2007-12-13 2009-06-18 International Business Machines Corporation Method and structure for semiconductor devices with silicon-germanium deposits
US8338259B2 (en) * 2010-03-30 2012-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with a buried stressor
JP5614184B2 (ja) 2010-09-06 2014-10-29 富士通セミコンダクター株式会社 半導体装置の製造方法
DE102011076696B4 (de) 2011-05-30 2013-02-07 Globalfoundries Inc. Verfahren zur Leistungssteigerung in Transistoren durch Vorsehen eines eingebetteten verformungsinduzierenden Halbleitermaterials auf der Grundlage einer Saatschicht und entsprechendes Halbleiterbauelement
US8735993B2 (en) 2012-01-31 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET body contact and method of making same
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9685509B2 (en) 2013-07-30 2017-06-20 Samsung Electronics Co., Ltd. Finfet devices including high mobility channel materials with materials of graded composition in recessed source/drain regions
US9263583B2 (en) * 2013-10-14 2016-02-16 Globalfoundries Inc. Integrated finFET-BJT replacement metal gate
US9312364B2 (en) 2014-05-27 2016-04-12 International Business Machines Corporation finFET with dielectric isolation after gate module for improved source and drain region epitaxial growth
US10263108B2 (en) 2014-08-22 2019-04-16 Taiwan Semiconductor Manufacturing Company, Ltd. Metal-insensitive epitaxy formation
US9318608B1 (en) * 2014-09-29 2016-04-19 Globalfoundries Inc. Uniform junction formation in FinFETs
US9343300B1 (en) * 2015-04-15 2016-05-17 Globalfoundries Inc. Methods of forming source/drain regions for a PMOS transistor device with a germanium-containing channel region

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005101278A (ja) * 2003-09-25 2005-04-14 Matsushita Electric Ind Co Ltd 半導体装置およびその製造方法
JP2009517867A (ja) * 2005-12-27 2009-04-30 インテル・コーポレーション リセスのあるストレイン領域を有すマルチゲートデバイス
JP2011103450A (ja) * 2009-10-01 2011-05-26 Taiwan Semiconductor Manufacturing Co Ltd Finfetsおよびその形成方法
US20110287600A1 (en) * 2010-05-20 2011-11-24 Taiwan Semiconductor Manufacturing Company, Ltd. Selective Etching in the Formation of Epitaxy Regions in MOS Devices
US20130020612A1 (en) * 2011-07-22 2013-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Re-growing Source/Drain Regions from Un-Relaxed Silicon Layer
US20140349467A1 (en) * 2013-05-27 2014-11-27 United Microelectronics Corp. Semiconductor process
JP2017011272A (ja) * 2015-06-22 2017-01-12 グローバルファウンドリーズ・インコーポレイテッド finFET構造を形成する方法、半導体基板とfinFETトランジスタを提供する方法、およびfinFETトランジスタ

Also Published As

Publication number Publication date
KR20190046846A (ko) 2019-05-07
BR112019004441A2 (pt) 2019-05-28
TWI737787B (zh) 2021-09-01
EP3513436A1 (en) 2019-07-24
TW201824541A (zh) 2018-07-01
CA3032959A1 (en) 2018-03-22
CN109690787A (zh) 2019-04-26
JP7041126B2 (ja) 2022-03-23
BR112019004441B1 (pt) 2023-04-04
KR102541383B1 (ko) 2023-06-08
WO2018052578A1 (en) 2018-03-22
US20180076326A1 (en) 2018-03-15
US10304957B2 (en) 2019-05-28

Similar Documents

Publication Publication Date Title
JP7041126B2 (ja) 総直列抵抗が低減されたFinFET
US10164030B2 (en) Semiconductor device and method of fabricating the same
US9825135B2 (en) Semiconductor devices and methods for manufacturing the same
US9892912B2 (en) Method of manufacturing stacked nanowire MOS transistor
US8415210B2 (en) Field effect transistor and method for manufacturing the same
US11101369B2 (en) FinFET device with controlled channel stop layer depth
TW201637081A (zh) 在鰭式場效電晶體中形成擊穿中止區域的方法
JP2019521513A (ja) ラップアラウンドコンタクトを形成する方法および半導体デバイス
US20160087062A1 (en) Semiconductor devices and methods for manufacturing the same
KR20130014041A (ko) 치환 소스/드레인 finfet 제조
US20140187011A1 (en) Methods for Forming FinFETs with Self-Aligned Source/Drain
WO2014110852A1 (zh) 半导体器件及其制造方法
JP2009523326A (ja) ゲートの頂部が拡張された半導体トランジスタ
US9673324B1 (en) MOS device with epitaxial structure associated with source/drain region and method of forming the same
JP2008263162A (ja) 半導体素子及びその製造方法
US10290724B2 (en) FinFET devices having a material formed on reduced source/drain region
KR20160054943A (ko) 게이트 전극을 갖는 반도체 소자 형성 방법
KR20080011511A (ko) 다중 채널 모스 트랜지스터를 포함하는 반도체 장치의 제조방법
CN105826374B (zh) P型鳍式场效应晶体管及其形成方法
CN110970300B (zh) 堆叠环栅鳍式场效应管及其形成方法
US20240178320A1 (en) Semiconductor transistor with precise geometries and related manufacture method thereof
KR20080011488A (ko) 다중 채널 모스 트랜지스터를 포함하는 반도체 장치의 제조방법
TW202335292A (zh) 電晶體結構
CN106935490B (zh) 一种半导体器件及其制备方法、电子装置
TW202332061A (zh) 電晶體結構

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190314

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200720

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200720

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210531

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210607

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20210907

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20211207

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20220214

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20220310

R150 Certificate of patent or registration of utility model

Ref document number: 7041126

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150