JP2019529695A - 高密度、低応力のアモルファスカーボン膜、ならびにその堆積のための方法および装置 - Google Patents

高密度、低応力のアモルファスカーボン膜、ならびにその堆積のための方法および装置 Download PDF

Info

Publication number
JP2019529695A
JP2019529695A JP2019509517A JP2019509517A JP2019529695A JP 2019529695 A JP2019529695 A JP 2019529695A JP 2019509517 A JP2019509517 A JP 2019509517A JP 2019509517 A JP2019509517 A JP 2019509517A JP 2019529695 A JP2019529695 A JP 2019529695A
Authority
JP
Japan
Prior art keywords
pulse
process chamber
power
magnetron assembly
pole piece
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2019509517A
Other languages
English (en)
Other versions
JP6795686B2 (ja
Inventor
チンチン リウ,
チンチン リウ,
チョン チャン ファ,
チョン チャン ファ,
アドルフ ミラー アレン,
アドルフ ミラー アレン,
マイケル ダブリュ. ストーウェル,
マイケル ダブリュ. ストーウェル,
シュリニヴァス ディ. ネマニ,
シュリニヴァス ディ. ネマニ,
チェンツァウ イン,
チェンツァウ イン,
バルガフ シトラ,
バルガフ シトラ,
ヴィアチェスラフ ババヤン,
ヴィアチェスラフ ババヤン,
アンドレイ ハラビカ,
アンドレイ ハラビカ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2019529695A publication Critical patent/JP2019529695A/ja
Application granted granted Critical
Publication of JP6795686B2 publication Critical patent/JP6795686B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0605Carbon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3407Cathode assembly for sputtering apparatus, e.g. Target
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3485Sputtering using pulsed power to the target
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • C23C14/354Introduction of auxiliary energy into the plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • C23C14/541Heating or cooling of the substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • C23C14/542Controlling the film thickness or evaporation rate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3402Gas-filled discharge tubes operating with cathodic sputtering using supplementary magnetic fields
    • H01J37/3405Magnetron sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/3414Targets
    • H01J37/3426Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/3435Target holders (includes backing plates and endblocks)
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/345Magnet arrangements in particular for cathodic sputtering apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3411Constructional aspects of the reactor
    • H01J37/345Magnet arrangements in particular for cathodic sputtering apparatus
    • H01J37/3452Magnet distribution
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3464Operating strategies
    • H01J37/3467Pulsed operation, e.g. HIPIMS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02266Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by physical ablation of a target, e.g. sputtering, reactive sputtering, physical vapour deposition or pulsed laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Abstract

堆積したアモルファスカーボン膜は、少なくとも95%の炭素を含む。アモルファスカーボン膜中に存在するsp3炭素−炭素結合のパーセンテージは、30%を超え、アモルファスカーボン膜の水素含有量は、5%未満である。ワークピース上にアモルファスカーボンを堆積させる方法は、プロセスチャンバ内にワークピースを配置することと、プロセスチャンバに隣接してマグネトロンアセンブリを配置することとを含む。マグネトロンアセンブリは、プロセスチャンバ内に磁場を伝える。この方法は、磁場が炭素ターゲットを貫通してワークピースに向かって延びるように炭素ターゲットを提供することを、さらに含む。この方法は、プロセスチャンバにソースガスを供給することと、プロセスチャンバ内のソースガスから形成されたプラズマにDC電力のパルスを供給することとを、さらに含む。DC電力のパルスは、40マイクロ秒以下のパルスで供給され、パルスは、少なくとも4kHzの周波数で繰り返される。【選択図】図2

Description

関連出願の相互参照
[0001]本出願は、2016年8月19日に出願された米国仮特許出願第62/377,325号および2017年5月19日に出願された米国特許出願第15/600,247号の利益を主張し、その全開示が、あらゆる目的のために参照により本明細書に組み込まれる。
[0002]本開示は、膜を堆積させるための方法および装置、ならびに膜自体の分野に、広く適用される。より具体的には、ワークピース上に高密度、低応力のアモルファスカーボン膜を堆積させるためのスパッタリングシステムおよび方法が、開示されている。
[0003]集積回路および他の半導体製品は、「ウェハ」と呼ばれる基板の表面上に、多くの場合、製造される。長年にわたって、半導体ウェハのサイズは増大し、一方フィーチャサイズは著しく縮小したので、処理されるウェハ当たり、より優れた機能を有するより多くの集積回路を取得することができる。典型的なウェハ直径は、1970年代の約2または3インチから2010年代の12インチ以上に増加した。同じ期間にわたって、量産用の集積回路の典型的な最小フィーチャサイズは、約5ミクロンから約0.015ミクロンに縮小した。ウェハが大きくなる一方で、より小さいフィーチャを処理するには、多くのタイプの処理能力を大幅に向上させる必要がある。例えば、リソグラフィシステムが、より小さいフィーチャをプリントすることができるようになるためには、ウェハが大きくなるにつれて、ウェハをより平坦にしなければならず、膜の堆積およびエッチングプロセスは、均一性を改善しなければならなかった。
[0004]いくつかのウェハ処理は、ステンシルまたはマスクを通して光を投影することによってパターニングすることができるフォトレジストによってマスクされた層のエッチングを含む。しかしながら、厚い層および/または複数の層をエッチングすることは、フォトレジストを侵食する可能性があり、それは、エッチングされた層の正確な幾何学的制御を低下させる可能性がある。エッチングされた層に対する幾何学的制御を維持するために使用される1つの技術は、エッチングされるべき層の上に「ハードマスク」層をパターニングすることである。パターニングされたハードマスク層は、フォトレジストと比較して、エッチングによりよく耐えることができ、その結果、エッチングされた層の幾何学的制御を維持することができる。
[0005]アモルファスカーボンは、ハードマスク層としての使用が検討されているが、アモルファスカーボン堆積のための現在の技術は、多くの場合、低密度、アモルファス層内のsp3結合の低パーセンテージ、高い水素含有量および/または高応力のうちの1つ以上を有する膜をもたらす。これらの特徴のそれぞれに、問題があり得る。sp3結合は、ダイヤモンド形態の炭素に存在する炭素−炭素結合の種類であり、一方、sp2結合は、グラファイトに存在する炭素−炭素結合の種類である。低い膜密度および/または低いsp3炭素−炭素結合パーセンテージは、下にある層に対するエッチング選択性の悪さをもたらす可能性があり、それは、ハードマスクを使用する目的を無にする可能性がある。また、アモルファスカーボンを堆積させるためのいくつかの現在のシステムは、炭化水素前駆体を分解する化学気相堆積(CVD)システムであり、これは、堆積したカーボン膜中に高い水素含有量をもたらす。CVD膜の水素含有量は、20%から70%にもなり得る。これはさらに、下にある層に対するエッチング選択性の悪さを助長し、また、隣接する構造に水素が移動する傾向があることによる他のプロセスインテグレーションの問題を引き起こす可能性がある。応力が大きいと、膜の剥離(粒子汚染の原因となり、エッチングされたフィーチャのミスプリントを引き起こす可能性がある)および/またはウェハの反り(ウェハの平坦性が低下し、リソグラフィの焦点に関連する問題やプリントにおける幾何学的制御の喪失につながる)などの問題が発生する。CVD処理中に、望ましくない高温も発生する可能性がある。アモルファスカーボンを堆積させる多くのプロセスにおいて、密度、sp3炭素−炭素パーセンテージの改善および/または水素含有量の改善(すなわち減少)などのプロセス改善を目的とした特定のプロセス変更は、膜応力を増大させる傾向がある。
[0006]一実施形態では、堆積されたアモルファスカーボン膜は、少なくとも95%の炭素を含み、アモルファスカーボン膜中に存在するsp3炭素−炭素結合のパーセンテージは30%を超え、アモルファスカーボン膜の水素含有量は5%未満である。
[0007]一実施形態では、高出力インパルスマグネトロンスパッタリングシステムは、プロセスチャンバと、プロセスチャンバ内にワークピースを配置するように構成されたペデスタルと、マグネトロンアセンブリと、ガス供給システムと、プロセスチャンバ内のプラズマにDC電力のパルスを供給する電源とを含む。マグネトロンアセンブリは、バッキングプレートと、バッキングプレートと結合するN極を有する第1の磁石と、第1の磁石の各々のS極と結合するS磁極片と、バッキングプレートと結合するS極を有する第2の磁石と、第2の磁石の各々のN極と結合するN磁極片であって、N磁極片とS磁極片との間の1つ以上の間隙を渡って磁場を伝えるように、N磁極片とS磁極片とが空間的に分離されている、N磁極片と、マグネトロンアセンブリを囲み、マグネトロンアセンブリの周りに熱交換流体を循環させるように構成されたマグネトロンアセンブリサラウンドと、磁場が炭素ターゲットを貫通して延びるように、マグネトロンアセンブリサラウンドの表面に配置された炭素ターゲットと、を含む。ガス供給システムは、プロセスチャンバにソースガスを供給する。電源は、プロセスチャンバ内のソースガスから形成されたプラズマにDC電力のパルスを供給する。DC電力のパルスは、40マイクロ秒以下のパルスで供給され、パルスは、少なくとも4kHzの周波数で繰り返される。
[0008]一実施形態では、ワークピース上にアモルファスカーボン膜を堆積させる方法は、ワークピースをプロセスチャンバ内のペデスタル上に配置することと、マグネトロンアセンブリをプロセスチャンバに隣接してマグネトロンアセンブリサラウンド内に配置することとを含む。マグネトロンアセンブリは、N磁極片およびS磁極片がN磁極片とS磁極片との間の1つ以上の間隙を渡って磁場を伝えるように配置されたS磁極片およびN磁極片を含む。この方法はさらに、磁場が炭素ターゲットを貫通してワークピースに向かって延び、炭素ターゲットがマグネトロンアセンブリサラウンドと電気的に結合されるように、炭素ターゲットをマグネトロンアセンブリサラウンドと結合させることを含む。この方法はさらに、プロセスチャンバにソースガスを供給することと、プロセスチャンバ内のソースガスから形成されたプラズマにDC電力のパルスを供給することとを含む。DC電力のパルスは、40マイクロ秒以下のパルスで供給され、パルスは、少なくとも4kHzの周波数で繰り返される。
一実施形態による、処理システムの主要要素を概略的に示す。 一実施形態による、図1の処理システムの一部としてのプロセスチャンバおよびスパッタリング装置のより詳細な概略断面図である。 一実施形態による、図2に示すマグネトロンアセンブリ265を、さらに詳細に示す。 一実施形態による、連続HiPIMS堆積を用いて堆積された、または化学気相堆積(CVD)によって堆積されたアモルファスカーボン膜と比べた、周期モードを用いたHiPIMS堆積を用いて堆積された膜中のsp3炭素−炭素結合パーセンテージの増加を示す棒グラフである。 図5と図6は、一実施形態による、CVDアモルファスカーボン膜の性能と比較した、本明細書の技法に従って堆積したアモルファスカーボン膜の優れた性能を示す走査型電子顕微鏡(SEM)断面図を示す。 図5と図6は、一実施形態による、CVDアモルファスカーボン膜の性能と比較した、本明細書の技法に従って堆積したアモルファスカーボン膜の優れた性能を示す走査型電子顕微鏡(SEM)断面図を示す。 図7〜図10は、一実施形態による、膜粒度および屈折率が堆積温度とともにどのように変化するかを示すSEM顕微鏡写真である。 図7〜図10は、一実施形態による、膜粒度および屈折率が堆積温度とともにどのように変化するかを示すSEM顕微鏡写真である。 図7〜図10は、一実施形態による、膜粒度および屈折率が堆積温度とともにどのように変化するかを示すSEM顕微鏡写真である。 図7〜図10は、一実施形態による、膜粒度および屈折率が堆積温度とともにどのように変化するかを示すSEM顕微鏡写真である。 一実施形態による、ワークピース上にアモルファスカーボン膜を堆積させる方法のフローチャートである。
[0016]本開示は、以下に記載される図面と併せて以下の詳細な説明を参照することによって理解され得る。ここで、同様の構成要素を指すために、同様の参照番号が、いくつかの図面を通して使用される。説明を明瞭にするために、図面中の特定の要素は一定の縮尺で描かれていないことがあることに、留意されたい。アイテムの特定のインスタンスは、括弧内の数字の使用によって参照され(例えば、N磁極片258(1)およびS磁極片258(2))、括弧なしの数字は、任意のそのようなアイテムを参照する(例えば、磁極片258)。アイテムの複数のインスタンスが示される場合には、説明を明瞭にするために、インスタンスのうちのいくつかのみにしかラベルが付けられていないことがある。
[0017]本明細書の実施形態は、ウェハおよび他のワークピースを処理するための新規かつ有用な機能を提供する。ここに記載されている方法および装置は、高密度、高いsp3炭素−炭素結合パーセンテージ、低応力、および極めて低い水素含有量を同時に有するアモルファスカーボンの膜を堆積させることができる。図1は、処理システム100の主要要素を概略的に示す。システム100は、アモルファスカーボンを堆積させるためのHiPIMS(高出力インパルスマグネトロンスパッタリング)システムであり、記載されている膜特性を生成するための、以下に説明するスパッタリング装置180などの専用のハードウェアを含む。システム100は、単一ウェハの、半導体ウェハ処理システムとして描かれているが、本明細書の技術および原理が、必ずしもウェハまたは半導体ではない他の種類のワークピースを処理する同様の処理システムに適用可能であることは、当業者にとって明らかである。図1は、システム100の選択された主要要素を概略的に示すことを意図した図であり、したがって、実際の処理システムは、図1に示されるようなシステム100と比較して、異なって見え、異なって配置され、追加の要素を含む可能性が高い、ということもまた理解されるべきである。
[0018]処理システム100は、プロセス流体10(例えば、ガス源)、外部電源20、真空30、および熱交換流体35などの1つ以上のユーティリティによってサービス提供される。処理システム100は、ハウジング110と、外部源からワークピース50を受け取り、それらをプロセスチャンバ160内に配置するインターフェース115とを含む。(以下の説明全体を通して、ワークピース50は、ウェハであると仮定されているが、任意の他の種類のワークピースを処理することができる。)処理システム100はまた、ユーザインターフェース145、ならびに典型的にはマイクロプロセッサ、メモリなどを含み、ユーザインターフェース145および/または他の入力源から入力を受け取ることができ、処理システム100のハードウェア要素に対するコンピュータベースの制御を提供するシステムコントローラ135を含み得る。コントローラ135は、物理的接続(電線もしくは光コネクタ)または無線接続であり得る1つ以上のデータリンク40を介して外部ネットワークおよび/またはコンピュータとインターフェースすることができる。処理システム100はまた、システムのハードウェア要素による使用のために、外部電源20によって供給された電力を変換または調整する1つ以上の内部電源150を含み得る。
[0019]プロセスチャンバ160は、ウェハ50を支持するパック175を少なくとも含むペデスタルまたはウェハチャック170上に各ウェハ50を受け取る。ウェハ50は、パック175上に物理的に配置され、実施形態において、パック175によって加熱、冷却および/または固定される。ウェハチャック170はまた、実施形態において、ウェハハンドリングツールへのアクセスのためにウェハ50を操作するように構成される。例えば、実施形態において、ウェハチャック170は、その上にウェハ50を受け取るためにパック175を上昇もしくは下降させ、その後、処理のためにパック175を別の高さまで下降もしくは上昇させることができ、またはその逆にすることができる。これらまたは他の実施形態では、ウェハチャック170は、パック175から伸長またはパック175内に後退することができるリフトピンなどの、パック175の上面に対してウェハ50を上昇または下降させるアクチュエータを含むことができ、ウェハツールが、ウェハ50と上面との間に挿入されてもよい。ウェハチャック170は、ウェハ50を静電的に固定するためのパック175との電気的接続部を、さらに含むことができる。ウェハチャック170は、パック175の温度制御のための(例えば、循環する熱交換流体への)流体接続部を、さらに含むことができる。
[0020]高密度、高いsp3炭素−炭素結合パーセンテージ、低応力、および極めて低い水素含有量を同時に有するアモルファスカーボンの膜を、ウェハ以外のワークピース上に堆積させることもまた、本開示の範囲内と見なされる。したがって、本明細書においてチャックを、「ウェハ」を保持するための「ウェハチャック」として特徴付けることは、任意の種類のワークピースを配置するためのチャックまたはペデスタルと同等であり、「ウェハ処理システム」は、任意の「処理システム」と同様に同等であると、理解されるべきである。
[0021]図1は、単一のハウジング110を示す輪郭の「内側」に特定の要素を含むものとして処理システム100を示しているが、これらの要素のいくつかは、遠隔に配置されてもよいし、複数のハウジング内に配置されてもよいし、システム110内に複数存在してもよいし、かつ/または他の処理システムと共有されてもよい。例えば、ハウジング110は、プロセスチャンバ160およびスパッタリング装置180を含み、システムコントローラ135、ユーザインターフェース145および電源150などの他の要素が、遠隔位置に配置され、電子的、光学的、高周波および/または自由空間光通信の方法によって接続されていてもよい。同様に、ガス源10、電源20、真空30、および熱交換流体35は、遠隔に配置されているものとして図示されているが、これらの要素は、ハウジング110内に設けることもできる。複数のプロセスチャンバ160が、単一のシステム100内に存在して、コントローラ135、ユーザインターフェース145、および/またはウェハインターフェース115の単一または複数のインスタンスによってサービス提供されてもよい。ハウジング110は、クリーンルーム壁を有する「隔壁」取り付け用に構成されてもよく、システム100の特定の部分が、壁の第1の側でアクセス可能であり、他の部分が、壁の第2の側でアクセス可能である。
[0022]スパッタリング装置180は、プロセスチャンバ160と一体化することができる。スパッタリング装置180は、プラズマによって衝撃を与えられる炭素ターゲットを含み、衝撃の作用は、ターゲットから炭素原子を引き離す。炭素原子の一部は、ウェハ50上に堆積し、一方、他の炭素原子は、プロセスチャンバ160の他の表面上に堆積する。以下でさらに説明されるように、スパッタリング装置180はまた、プラズマを炭素ターゲットに対して所定のパターンに集束させるマグネトロンを含み、ターゲット上のスパッタリング作用およびウェハ50上の膜カバレッジが均一になるように、装置180内でマグネトロンを移動および/または回転させる機構を、任意選択で含む。
[0023]図2は、処理システム100の一部としてのプロセスチャンバ160およびスパッタリング装置180のより詳細な概略断面図であり、それらの例示的な構成部品を示している。図2は、一定の縮尺で描かれていない。説明を明瞭にするために、その中の特定の構成要素は、サイズが誇張または縮小されており、各構成要素のすべてのインスタンスが示されおよび/またはラベル付けされているわけではなく、構成要素間のすべての内部接続が示されているわけではない。同様に、プロセスチャンバ160とスパッタリング装置180との間に示された境界は、任意である。プロセスチャンバ160およびスパッタリング装置180は、それらの部品間の明確な物理的区別なしに物理的に実施することができる。
[0024]処理システム100内で、プロセスチャンバ160は、側壁202と底壁204を含む。側壁202および底壁204は、通常、ステンレス鋼またはアルミニウム(またはその合金)から製造される。例えば、側壁202がアルミニウムを含み、底壁204がステンレス鋼を含んでもよい。処理システム100がウェハ処理システムである場合、パック175上に配置されたウェハの幾何学的形状と合うように、側壁202はほぼ円筒形であり、底壁204はほぼ円形であってもよいが、他のワークピースが処理される場合には、他の形状の側壁202および底壁204が好ましいこともある。側壁202は、(図2に示す断面平面からの)開口部を形成し、それを通ってウェハ50などのワークピースをプロセスチャンバ160内に入れたり、プロセスチャンバ160から出したりすることができる。側壁202および底壁204は、通常、接地電位に維持される。スパッタリング装置180の動作中に形成されたプラズマを閉じ込めるのに役立つ接地シールド210が、側壁202と結合している。ウェハ50の図示された高さより上の処理領域200は、ウェハ50を処理するように構成されている。シャフト172は、ウェハ50を、図示の位置から領域200内の処理位置まで上昇させることができる。シャフト172が、ウェハ50を処理位置に上昇させると、堆積リング177が、カバーリング212を持ち上げて、プラズマを領域200内にさらに閉じ込める。パック175は、実質的に平坦で、スパッタリング装置180のターゲット240と平行であり、ウェハ50とパック175との間の熱的連通を提供し、それによって、ターゲット240からのスパッタリング生成物は、ウェハ50で均一に受け取られ得る。パック175は、誘電体上面を含むことができ、DC電圧を印加して、ウェハ50をパック175に静電的に固定して、熱的連通およびウェハ240とターゲット240との平行を維持するように動作可能な、電極178A、178Bなどの電極を含むことができる。チャック170は、パック175の温度制御のための熱交換流体を循環させる流体接続部176A、176Bを、さらに含むことができる。チャック170は、チャンバ160の他の構成要素に対するパック175の電気的バイアスを確立および/または監視するための回路179を、さらに含むことができる。プロセスチャンバ160は、真空ポンプ30によって排気されることができるように密閉可能である。ガス源10も設けられている。
[0025]図2に示すように、スパッタリング装置180は、プロセスチャンバ160の上方に配置することができるが、これは必須ではない。スパッタリング装置180が、プロセスチャンバ160の上方に配置される場合、スパッタリング装置180は、洗浄または他のメンテナンス目的のためにプロセスチャンバ160から取り外し可能に持ち上げることができるようにするヒンジまたは他の機構を有するリッドアセンブリとして、構成されてもよい。スパッタリング装置180の全体形状は、一般的に、プロセスチャンバ160の形状に対応する(例えば、ウェハ50が、意図されたワークピースである場合は、円形)。スパッタリング装置は、ターゲット240と、マグネトロンアセンブリ265と、マグネトロンサラウンド270と、任意選択のモータ275とを含む。ターゲット240は、典型的には、グラファイト形態の炭素で形成され(例えば、炭素が、sp2結合で結合されている)、マグネトロンサラウンド270の周囲壁と結合する金属プレートに接合されている。
[0026]マグネトロンサラウンド270およびターゲット240は、HiPIMSパルス直流(DC)電源150(1)と動作可能に結合している。実施形態において、電源150(1)は、プロセスチャンバ160に対してターゲット240に極めて高いDC電力のパルスを供給する。例えば、電源150(1)が動作している間、DC電圧151が生成され、スイッチ152が一時的に閉じて、DC電力を、持続時間が40マイクロ秒未満のパルスになるように供給する。高いパルス周波数が使用される場合、パルス長は、35マイクロ秒、33マイクロ秒、30マイクロ秒、25マイクロ秒、20マイクロ秒、これらの値の中間の持続時間、またはより短い持続時間であり得る。スイッチ152を閉じることが、間隔を置いて繰り返され、その結果、電源150(1)は、一般的に20Hzから40kHzを超える周波数までの間で送達される電力のパルスを供給する。すなわち、実際のパルス繰り返し周波数は、20Hz、40Hz、75Hz、100Hz、200Hz、400Hz、500Hz、800Hz、1kHz、2kHz、4kHz、5kHz、8kHz、10kHz、15kHz、20kHz、25kHz、30kHz、35kHz、40kHz以上、または記載されている周波数の中間の周波数に設定することができる。電力パルスの持続時間および/またはパルスの繰り返しの周波数は、電源150(1)のハードウェアまたはファームウェアを通して確立されてもよく、またはシステムコントローラ135(図1)を通して制御されてもよい。DC電圧151は、通常、−500Vから−2000Vの範囲内であり、多くの場合、−1200Vから−1600Vの範囲内である。HiPIMS電源は、多くの場合、与えられたパルス持続時間の高出力DCパルスを供給し、パルスが供給される周波数が増加すると、正味のデューティサイクルが増加し、つまり、高DC電力がオフになっている時間に対する高DC電力がオンになっている時間の比率が高くなる。以下で論じるように、より高い正味のデューティサイクルは、堆積時間と膜質の両方を改善する。
[0027]非常に短いパルスで供給される電力量を最大にするために、可能な限り電気的にクリーンな電源150(1)とマグネトロンサラウンド270との間の接続部を提供することが、有利であり得る。電源150(1)によって駆動される電流は、パルスの間100Aのオーダーであり得るので、電源150(1)からターゲット240に電力をもたらすケーブル154は、0.02nH/ft〜75nH/ftの範囲のインダクタンスを有する専用ケーブルであってもよい。図2に示すケーブル154の長さは、縮尺通りではない。ケーブル154は、非常に短くても、はるかに長くてもよい(例えば、単一の電源150(1)をスパッタリング装置180および/またはプロセスチャンバ160から任意の距離に配置することができるように)。例えば、電源150(1)は、処理システム100から遠隔に配置されてもよい(場合によっては、単一の電源150(1)が、いくつかの処理システム100の間の共有リソースであってもよい)。スパッタリング装置180において、電力パルスを搬送する導体は、フィードスルー272を通ってマグネトロンサラウンド270に入り、バッキングプレート242およびターゲット240と接続する。
[0028]図2に示すように、電源150(1)が、マグネトロンサラウンド270およびターゲット240をプロセスチャンバ160に対してバイアスすることができるように、アイソレータ260が、マグネトロンサラウンド270およびターゲット240をプロセスチャンバ160の側壁202から絶縁する。マグネトロンサラウンド270および少なくともターゲット240のバッキングプレート242が、エンクロージャを形成し、後述するように、熱交換流体35がそこを通って、動作中にターゲット240および/またはマグネトロンアセンブリ265の構成要素によって発生した熱を除去する。熱交換流体35は、水またはグリコール/水混合物であり得、10℃〜25℃、またはより具体的には15℃〜22℃の範囲内の温度で供給され得る。
[0029]図3は、図2に示す側面図と比較して、下方から斜めに見た図で、より詳細にマグネトロンアセンブリ265を示す。ベースプレート252が、ロッド254(図3では隠れているが、図2には示されている)と接続している。任意選択のモータ275が、存在する場合、ロッド254およびマグネトロンアセンブリ265を、図2にRで示す方向に回転させることができる。複数の非常に強力な磁石(例えば、ネオジム鉄ホウ化物磁石)256(1)、256(2)が、磁極片258(1)、258(2)とベースプレート252との間に延びている。磁石256(1)、256(2)は類似しているが、互いに反対の極性を有する組で配置されている。すなわち、例えば、磁石256(1)の大部分または全部が、ベースプレート252に面するS極と、N磁極片258(1)に面するN極とを有し、磁石256(2)の大部分または全部が、ベースプレート252に面するN極と、S磁極片258(2)に面するS極とを有し、またはその逆である。(特定の実施形態では、磁場を局所的に変える目的で、いくつかの磁石は、同じ磁極片に取り付けられた他の磁石と比較して、反対方向を向いている。)こうして、マグネトロンアセンブリ265は、N磁極片258(1)とS磁極片258(2)との間に磁力線のパターンを確立する。磁極片258間の間隙によって形成されるらせんパターンは、レーストラック259と呼ばれることがある。
[0030]ターゲット240に近接して取り付けられると、磁力線は、ターゲット240を貫通して処理領域200内に延び、そこで発生したプラズマは、ターゲット240の近傍に引き寄せられる。マグネトロンアセンブリ265が静止している(すなわち、ロッド254が、マグネトロンアセンブリ265を回転させない)場合、プラズマは、処理領域200内の、レーストラック259からターゲット240の表面を横切った真向かいの領域に閉じ込められ、ターゲット材料は、レーストラック259と一致するパターンで侵食される。しかしながら、ほとんどの場合、モータ275がロッド254を駆動して、マグネトロンアセンブリ265が回転し、ターゲット240を横切ってマグネトロンアセンブリ265の磁場を掃引し、堆積の均一性およびターゲット240の一様な磨耗を促進する。実施形態では、マグネトロンアセンブリ265は、毎分40〜150回転、より具体的には毎分40、50、65、80、100、115、130または150回転の速度など、各堆積サイクル中に少なくとも数回転を提供するのに十分な速度で回転する。
[0031]使用時に、ウェハ50などのワークピースが、チャック170上にロードされ、プロセスチャンバ160内の処理位置に運ばれる。ウェハ50の処理位置は、典型的には、ターゲット240から20〜100mmの範囲内、例えばターゲット240から20、30、40、50、60、70、80または100mmの範囲内であり、範囲内で調整可能であってもよい(例えば、40から60mmまでの1mm刻みで設定されてもよい)。プロセスチャンバ160が、真空ポンプ30によって少なくとも部分的に排気され、ガス源10が、その中にプロセスガスを入れる。少なくとも電源150(1)が、マグネトロンサラウンド270およびターゲット240に電力を供給し、プラズマが、プロセスチャンバ160内のプロセスガスから形成される。上述のように、マグネトロンアセンブリ265からの磁場が、プラズマをターゲット240の近くに集中させる。プラズマからの高エネルギー原子が、ターゲット240から炭素原子を叩き出す。炭素原子の一部は、ワークピース上に降下し、その上に膜を形成する。
[0032]本発明者らは、高密度、高いsp3炭素−炭素結合パーセンテージ、低い水素含有量および低応力を有する堆積したアモルファスカーボン膜を意外にも提供するプロセス条件の組み合わせを見出した。例えば、堆積したアモルファスカーボン膜の密度は、2.0〜2.4グラム/cmであり得る。sp3炭素−炭素結合パーセンテージは、30%よりも高く、場合によっては、40%よりも高くなり得る。(sp3含有量は、堆積した膜のラマンシフトスペクトルから、膜のGピークおよびDピークをデコンボリューションし、cm−1でのGピークの波数ωを決定し、式:sp3含有量=0.24−48.9(ω/10000−0.1580)を用いて、計算できる。)膜のヤング率や硬度などの関連する膜パラメータも、密度およびsp3炭素−炭素結合パーセンテージと相関するため、高くなる。堆積したアモルファスカーボン膜は、190GPaを超える(時には200GPaを超える)ヤング率、および17GPaを超える(時には18GPaを超える)硬度を有し得る。アモルファスカーボン膜は、炭素ターゲットをスパッタリングすることによって(例えば、炭化水素の分解によってではなく)生成されるので、アモルファスカーボン膜は、少なくとも95%の炭素、多くの場合98%または99%の炭素であり、水素含有量は、非常に低く、例えば、5%未満、2%未満、または1%未満であり得る。アモルファスカーボン膜内の応力もまた、他の手段によって堆積された等価密度を有するアモルファスカーボン膜と比較して低い。膜内の応力は、膜の厚さに関連したままであり、より薄い膜は、より厚い膜よりも比較的高い応力を示す。例えば、800Å〜1200Åの厚さ範囲内にある、本技術を使用して堆積した膜は、350MPa未満または時には300MPa未満の圧縮応力を有し得る一方で、0.8μm〜1.2μmの厚さ範囲内の膜は、300MPa未満、時には240MPa未満または200MPa未満の圧縮応力を有し得る。
[0033]HiPIMS堆積は、ターゲット240とウェハ50の両方を加熱する傾向がある。しかしながら、堆積中ターゲット240および/またはウェハ50を低温に保つことは、おそらくは堆積が完了した後にウェハおよび膜が室温に戻るために大きな温度変化を受けることがないため、膜質にとって有利であると考えられる。「オン期間」(HiPIMS堆積が生じるとき)を「オフ期間」と交互に繰り返す周期モードで電源150(1)を動作させることによって、ターゲット240および/またはウェハ50の両方とも、より低い温度に維持することができる。ここで、オン期間は、HiPIMS電力供給が上述のパルス長および周波数で周期的に起こっている、秒のオーダーの期間であり、HiPIMS電力パルス間の短い瞬間ではない。オフ期間は、堆積が起こらない期間である。周期モードを使用して、オン期間に、マグネトロンサラウンド270内の熱交換流体35が、ターゲット240の温度を下げ、パック175内の冷却装置が、ウェハ50の温度を下げる。本発明者らは、パック175とターゲット240の両方を冷却することが重要であることを、見出した。パック175のみを冷却して、ターゲット240の温度を上昇させることは、ターゲット240からパック175上のワークピース50への熱の放出を生じさせ得る。また、高温は、ターゲット240を損傷する可能性がある。典型的な周期モードパラメータは、30秒から2分のオン期間を、5秒から1分のオフ期間と交互に繰り返す。マグネトロンサラウンド270とパック175の両方の冷却能力は、冷却に必要なオフ期間の長さに影響を及ぼし得る。すなわち、それぞれの冷却システムが、急速に冷却することができる場合、オフ期間を短縮することができる。例えば、決まった持続時間のDCパルスが供給される周波数を増加させることによって、HiPIMSのDC電力がオンである正味のデューティサイクルを増加させることもまた、冷却の必要性を高めるように思われる。パック175の温度の典型的な設定値は、−20℃〜200℃の範囲内、特に−20℃〜50℃の範囲内であり得る。電源150(1)の周期的動作ならびにマグネトロンサラウンド270およびパック175の温度制御のための設定値を実行するためのプロセス制御は、システムコントローラ135(図1)を通して実行されてもよい。膜中の低い応力を維持しながら、高密度および高いsp3炭素−炭素結合パーセンテージを有する膜を、周期モードを使用して生成することができる。
[0034]堆積膜中の高いsp3結合パーセンテージに寄与する別の重要な要因は、プラズマを形成するソースガスの少なくとも一部としてクリプトンを使用することである。アルゴンが、通常スパッタリングプロセスのソースガスとして使用されるが、クリプトンは、はるかに高価である。しかし、クリプトンは、高価だが、アルゴンの約2倍の原子量を有する。クリプトンのより高い原子量は、ターゲット240から炭素原子を効率的に叩き出すために、ターゲット240へのソースガス原子の各衝突で、より高い運動エネルギーを提供する。同様に、衝突当たりの運動エネルギーがより高いため、クリプトンは、結合した複数の原子ではなく単一の原子として叩き出される炭素原子のパーセンテージを増加させると、考えられている。sp2結合の複数の原子としてターゲット240から出て来る炭素原子の数を減らすことは、結果として生じる膜中の炭素sp3結合のパーセンテージを上げるのに役立つ。
[0035]図4は、連続HiPIMS堆積を用いて堆積された、または化学気相堆積(CVD)によって堆積されたアモルファスカーボン膜と比べた、周期モードを用いたHiPIMS堆積を用いて堆積された膜中のsp3炭素−炭素結合パーセンテージの増加を示す棒グラフである。sp3炭素−炭素結合パーセンテージは、上記のように、各膜のラマンスペクトルから得られる。
[0036]図5および図6は、CVDアモルファスカーボン膜の性能と比較して、本明細書の技法に従って堆積されたアモルファスカーボン膜の優れた性能を示す走査型電子顕微鏡(SEM)断面図を示す。本明細書の技法に従って堆積されたHiPIMS膜、およびCVD膜が、コンタクトホールを形成するための酸化物−ポリシリコン(OP)層の深いエッチングのためのハードマスク層として使用された。HiPIMSおよびCVDハードマスク層ならびにOP層の厚さは、同等であり、OP層の組成および使用したエッチレシピは、HiPIMSおよびCVDサンプルについて同一であった。図5および図6に示す各シリーズにおいて、SEM顕微鏡写真(A)は、フォトレジストを使用して(同一のサイズおよび形状のパターンで)マスクされ、ハードマスク層のみを通ってエッチングされたアモルファスカーボンハードマスク層を示す。各シリーズにおいて、顕微鏡写真(B)は、フォトレジストが除去された後かつ10秒間の酸化物−ポリシリコンエッチング後の構造を示す。顕微鏡写真(C)は、60秒間の酸化物−ポリシリコンエッチング後の構造を示す。顕微鏡写真(D)は、240秒間の酸化物−ポリシリコンエッチング後の構造を示す。顕微鏡写真(E)は、240秒間の酸化物−ポリシリコンエッチング後の構造を示す。各シリーズの(A)から(E)までの顕微鏡写真全部にわたって延びる基準線は、ハードマスク層の元の表面および底部を表す。測定可能であれば、顕微鏡写真(B)から(E)までは、OP層とハードマスク層の除去の深さ、およびその2つの比、すなわちOP層に対するハードマスク層のエッチング選択性を示す。
[0037]ハードマスク層およびOP層の除去深さ、ならびに対応するエッチング選択性が、以下の表1に要約されている。当業者は、CVDハードマスク層における選択性のほぼ2倍である選択性によって測定されるHiPIMSハードマスク層の優位性だけでなく、CVD層よりもHiPIMS層によって寸法安定性がはるかに良好に維持されるという事実もまた、認識するであろう(すなわち、図6のエッチングされたOPフィーチャは、図5に示される対応するOPフィーチャと比較して、ハードマスク層内の元のパターンとほぼ同じ幅のままである)。
[0038]図7、図8、図9および図10は、膜粒度および屈折率が堆積温度と共にどのように変化するかを示すSEM顕微鏡写真である。図7、図8、図9および図10のそれぞれが、下にある構造(各顕微鏡写真の下部にある、特徴のない灰色の領域)の上に堆積されたアモルファスカーボン層を示す。図7、図8、図9、および図10において堆積したカーボン層は、それぞれ、順に低くなる温度T4、T3、T2、およびT1で堆積した。各顕微鏡写真上の白い線は、堆積層の測定された厚さを示す。各サンプルの屈折率(RI)が、各顕微鏡写真の左下隅に示されている。最も低い温度T1で堆積された図10のサンプルは、最も滑らかであり、最も高いRIを有する。最も高い温度T4で堆積された図7のサンプルは、最も粗く、最も低いRIを有する。一般に、図10のサンプルが、その最も高い密度(その最も高いRIから推測される)ならびにその滑らかな形態に基づいて、半導体ハードマスク用途に最も適していると判断されるであろう。
[0039]図11は、ワークピース上にアモルファスカーボン膜を堆積させる方法300のフローチャートである。310において、ワークピースが、プロセスチャンバ内に配置される。310の一例は、図2に示すように、ペデスタルまたはウェハチャック170上にウェハ50を配置することである。任意選択で、ペデスタルの温度は、−20℃〜50℃の範囲内に制御される。320において、マグネトロンアセンブリが、マグネトロンアセンブリのN磁極片およびS磁極片が磁場を伝えるように、プロセスチャンバに隣接してマグネトロンアセンブリサラウンド内に配置される。320の一例は、図2に示すように、マグネトロンアセンブリサラウンド270内にマグネトロンアセンブリ265を配置することである。任意選択で、マグネトロンアセンブリの温度を調整するために、熱交換流体が、マグネトロンアセンブリサラウンド内に、−10℃〜25℃の範囲内の温度で供給される。これの一例は、図2に示すように、マグネトロンアセンブリサラウンド270内に熱交換流体35を供給することである。330において、炭素ターゲットが、マグネトロンアセンブリからの磁場が炭素ターゲットを貫通して延びるように、プロセスチャンバに隣接してマグネトロンアセンブリサラウンドと結合する。330の一例は、図2に示すように、炭素ターゲット240をバッキングプレート242と結合させることであり、炭素ターゲット240はプロセスチャンバ160に隣接し、バッキングプレート242の反対側は、マグネトロンアセンブリサラウンド270内のマグネトロンアセンブリ265に隣接している。340において、ソースガスが、プロセスチャンバに供給される。任意選択で、クリプトンが、ソースガスの少なくとも一部である。340の一例は、図2に示すように、プロセスチャンバ160にソースガスを供給することである。
[0040]350において、DC電力のパルスが、少なくとも4kHzの周波数で繰り返される40マイクロ秒以下のパルスで、プロセスチャンバ内のプラズマに供給される。350の一例は、図2に示すように、HiPIMS電源150(1)がプロセスチャンバ160内のプラズマにDC電力のパルスを供給することである。任意選択で、DC電力のパルスは、限定されないが、少なくとも30kHzなどの、より高い周波数で供給される。また、任意選択で、DC電力パルスは、30秒〜2分のオン期間の間に供給され、DC電力パルスがオフになる、5秒〜1分のオフ期間と交互に繰り返され、ワークピースと炭素ターゲットの冷却を促進する。
[0041]いくつかの実施形態を説明してきたが、本発明の精神から逸脱することなく、様々な修正形態、代替構造、および等価物を使用できることが、当業者には理解されよう。さらに、本発明を不必要に不明瞭にすることを避けるために、いくつかの周知のプロセスおよび要素は、記載されていない。したがって、上記の説明は、本発明の範囲を限定するものとして解釈されるべきではない。
[0042]ある範囲の値が提供される場合、その範囲の上限と下限の間にある各値もまた、文脈上明らかに別段の指示がない限り、下限の最小の位の10分の1まで、具体的に開示されることが、理解される。記載範囲内の任意の記載値または間にある値と、その記載範囲内の任意の他の記載値または間にある値との間の、より狭い範囲の各々が、包含される。これらのより狭い範囲の上限および下限は、独立して、その範囲に含まれてもよいし、または除外されてもよく、上限および下限のうちのいずれかが、そのより狭い範囲に含まれる、または両方とも含まれない、または両方とも含まれるような各範囲もまた、本発明に包含される。ただし、その記載範囲内で具体的に除外されている上限または下限は除く。記載範囲が、上限および下限のうちの一方または両方を含む場合、これらの含まれている上限/下限のうちのいずれかまたは両方を除外した範囲もまた、含まれる。
[0043]本明細書および添付の特許請求の範囲で使用されるとき、単数形「a」、「an」、および「the」は、文脈上明らかに別段の指示がない限り、複数の指示物を含む。したがって、例えば、「プロセス」への言及は、複数のそのようなプロセスおよび当業者に知られているその均等物を含む、などである。また、「備える(comprise)」、「備えている(comprising)」、「含む(include)」、「含んでいる(including)」、「含む(includes)」という単語は、本明細書および以下の特許請求の範囲で使用されるとき、記載された特徴、整数、構成要素、またはステップの存在を明示することを意図するが、1つ以上の他の特徴、整数、構成要素、ステップ、行為、またはグループの存在または追加を排除するものではない。

Claims (9)

  1. プロセスチャンバと、
    ワークピースを前記プロセスチャンバ内に配置するように構成されたペデスタルと、
    マグネトロンアセンブリであって、
    バッキングプレートと、
    複数の第1の磁石であって、前記第1の磁石の各々のN極が前記バッキングプレートと結合している、複数の第1の磁石と、
    前記第1の磁石の各々のS極と結合されたS磁極片と、
    複数の第2の磁石であって、前記第2の磁石の各々のS極が前記バッキングプレートと結合している、複数の第2の磁石と、
    前記第2の磁石の各々のN極と結合されたN磁極片であって、前記N磁極片と前記S磁極片との間の1つ以上の間隙を渡って磁場を伝えるように、前記N磁極片と前記S磁極片とが空間的に分離されている、N磁極片と、
    前記マグネトロンアセンブリを囲み、前記マグネトロンアセンブリの周りに熱交換流体を循環させるように構成されたマグネトロンアセンブリサラウンドと、
    前記マグネトロンアセンブリサラウンドの表面に配置された炭素ターゲットであって、前記磁場が前記炭素ターゲットを貫通して延びる、炭素ターゲットと
    を含むマグネトロンアセンブリと、
    前記プロセスチャンバにソースガスを供給するためのガス供給システムと、
    前記プロセスチャンバ内の前記ソースガスから形成されたプラズマにDC電力のパルスを供給する電源であって、
    DC電力の前記パルスが、40マイクロ秒以下のパルスで供給され、
    前記パルスが、少なくとも4kHzの周波数で繰り返される、
    電源と
    を備える、高出力インパルスマグネトロンスパッタリングシステム。
  2. 前記ソースガスが、クリプトンを含む、請求項1に記載の高出力インパルスマグネトロンスパッタリングシステム。
  3. 前記ペデスタルが、前記ワークピースの温度を−20℃から200℃の範囲内に制御するように構成されており、特に、前記ペデスタルが、前記ワークピースの温度を−20℃から50℃の範囲内で制御するように構成されている、請求項1に記載の高出力インパルスマグネトロンスパッタリングシステム。
  4. 前記周波数が、少なくとも30kHzである、請求項1に記載の高出力インパルスマグネトロンスパッタリングシステム。
  5. 前記電源が、周期的に動作するように構成されており、各周期が、
    前記パルスが前記周波数で繰り返されるオン期間であって、30秒から2分の継続期間であるオン期間と、
    前記パルスが停止しているオフ期間であって、5秒から1分の継続期間であるオフ期間と
    を含む、請求項1に記載の高出力インパルスマグネトロンスパッタリングシステム。
  6. ワークピース上にアモルファスカーボン膜を堆積させる方法であって、
    前記ワークピースをプロセスチャンバ内に配置することと、
    S磁極片およびN磁極片を備えるマグネトロンアセンブリであって、前記S磁極片および前記N磁極片が、前記N磁極片と前記S磁極片との間の1つ以上の間隙を渡って磁場を伝えるように配置されている、マグネトロンアセンブリを、前記プロセスチャンバに隣接してマグネトロンアセンブリサラウンド内に配置することと、
    炭素ターゲットを、前記磁場が前記炭素ターゲットを貫通して前記ワークピースに向かって延び、前記炭素ターゲットが前記マグネトロンアセンブリサラウンドと電気的に結合されるように、前記マグネトロンアセンブリサラウンドと結合させることと、
    ソースガスを前記プロセスチャンバに供給することと、
    前記プロセスチャンバ内の前記ソースガスから形成されたプラズマにDC電力のパルスを供給することと
    を含み、DC電力のパルスを供給することが、
    DC電力の前記パルスを、40マイクロ秒以下のパルスで供給することと、
    少なくとも4kHzの周波数で前記パルスを繰り返すことと
    を含む、方法。
  7. DC電力のパルスを供給することが、30秒から2分のオン期間中に前記パルスを供給することを含み、
    前記オン期間が、5秒から1分のオフ期間中に前記パルスを停止することと交互に繰り返す、請求項6に記載のアモルファスカーボン膜を堆積させる方法。
  8. DC電力のパルスを供給することが、少なくとも30kHzの周波数で前記パルスを繰り返すことを含む、請求項6に記載のアモルファスカーボン膜を堆積させる方法。
  9. ソースガスを供給することが、前記ソースガスの少なくとも一部としてクリプトンを供給することを含む、請求項6に記載のアモルファスカーボン膜を堆積させる方法。
JP2019509517A 2016-08-19 2017-07-26 高密度、低応力のアモルファスカーボン膜、ならびにその堆積のための方法および装置 Active JP6795686B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662377325P 2016-08-19 2016-08-19
US62/377,325 2016-08-19
US15/600,247 2017-05-19
US15/600,247 US10858727B2 (en) 2016-08-19 2017-05-19 High density, low stress amorphous carbon film, and process and equipment for its deposition
PCT/US2017/043930 WO2018034811A1 (en) 2016-08-19 2017-07-26 High density, low stress amorphous carbon film, and process and equipment for its deposition

Publications (2)

Publication Number Publication Date
JP2019529695A true JP2019529695A (ja) 2019-10-17
JP6795686B2 JP6795686B2 (ja) 2020-12-02

Family

ID=61191353

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019509517A Active JP6795686B2 (ja) 2016-08-19 2017-07-26 高密度、低応力のアモルファスカーボン膜、ならびにその堆積のための方法および装置

Country Status (6)

Country Link
US (1) US10858727B2 (ja)
JP (1) JP6795686B2 (ja)
KR (1) KR20190032636A (ja)
CN (1) CN109642312B (ja)
TW (1) TW201817902A (ja)
WO (1) WO2018034811A1 (ja)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10570506B2 (en) * 2017-01-24 2020-02-25 Applied Materials, Inc. Method to improve film quality for PVD carbon with reactive gas and bias power
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
WO2019036157A1 (en) 2017-08-18 2019-02-21 Applied Materials, Inc. HIGH PRESSURE AND HIGH TEMPERATURE RECOVERY CHAMBER
EP4321649A2 (en) 2017-11-11 2024-02-14 Micromaterials LLC Gas delivery system for high pressure processing chamber
KR20200075892A (ko) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 고압 처리 시스템을 위한 컨덴서 시스템
JP7239598B2 (ja) 2018-03-09 2023-03-14 アプライド マテリアルズ インコーポレイテッド 金属含有材料の高圧アニーリングプロセス
WO2019199681A1 (en) 2018-04-09 2019-10-17 Applied Materials, Inc. Carbon hard masks for patterning applications and methods related thereto
SG11202009289PA (en) * 2018-05-03 2020-11-27 Applied Materials Inc Pulsed plasma (dc/rf) deposition of high quality c films for patterning
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
CN110184577B (zh) * 2019-06-19 2021-06-11 中国科学院宁波材料技术与工程研究所 柔性基底表面兼具压阻性能与韧性的非晶碳膜的制备方法及其应用
MX2022002251A (es) * 2019-08-21 2023-03-09 Saint Gobain Recubrimientos que se pueden revenir que tienen carbono tipo diamante y deposicion por medio de pulverizacion con magnetron de impulso de alta potencia.
CN112853286A (zh) * 2019-11-12 2021-05-28 应用材料公司 压电膜的物理气相沉积
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US20230223263A1 (en) * 2020-05-05 2023-07-13 Lam Research Corporation Inert gas implantation for hard mask selectivity improvement
CN111748789B (zh) * 2020-07-10 2022-06-24 哈尔滨工业大学 一种石墨阴极弧增强辉光放电沉积纯dlc的装置及其方法
CN113718219B (zh) * 2021-08-30 2023-11-14 长江先进存储产业创新中心有限责任公司 薄膜沉积方法及薄膜沉积设备

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007530787A (ja) * 2004-03-24 2007-11-01 アプライド マテリアルズ インコーポレイテッド 選択可能なデュアルポジション型マグネトロン
JP2011214150A (ja) * 2010-03-19 2011-10-27 Nanotec Corp 炭素膜の形成方法および装置
JP2013167353A (ja) * 2012-02-15 2013-08-29 Hauzer Techno Coating Bv ベアリングコンポーネント
JP2013253291A (ja) * 2012-06-07 2013-12-19 Toyo Advanced Technologies Co Ltd 摺動部材及びその製造方法
WO2016028640A1 (en) * 2014-08-22 2016-02-25 Applied Materials, Inc. A high power impulse magnetron sputtering process to achieve a high density high sp3 containing layer

Family Cites Families (179)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6347636B1 (en) 1996-11-13 2002-02-19 Applied Materials, Inc. Methods and apparatus for gettering fluorine from chamber material surfaces
US6114216A (en) 1996-11-13 2000-09-05 Applied Materials, Inc. Methods for shallow trench isolation
US5812403A (en) 1996-11-13 1998-09-22 Applied Materials, Inc. Methods and apparatus for cleaning surfaces in a substrate processing system
US5963840A (en) 1996-11-13 1999-10-05 Applied Materials, Inc. Methods for depositing premetal dielectric layer at sub-atmospheric and high temperature conditions
US5935340A (en) 1996-11-13 1999-08-10 Applied Materials, Inc. Method and apparatus for gettering fluorine from chamber material surfaces
DE19651615C1 (de) 1996-12-12 1997-07-10 Fraunhofer Ges Forschung Verfahren zum Aufbringen von Kohlenstoffschichten durch reaktives Magnetron-Sputtern
US6090206A (en) 1997-10-20 2000-07-18 Applied Materials, Inc. Throttle valve providing enhanced cleaning
US6265318B1 (en) 1998-01-13 2001-07-24 Applied Materials, Inc. Iridium etchant methods for anisotropic profile
US6323132B1 (en) 1998-01-13 2001-11-27 Applied Materials, Inc. Etching methods for anisotropic platinum profile
US6919168B2 (en) 1998-01-13 2005-07-19 Applied Materials, Inc. Masking methods and etching sequences for patterning electrodes of high density RAM capacitors
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6338777B1 (en) 1998-10-23 2002-01-15 International Business Machines Corporation Method and apparatus for sputtering thin films
US6086730A (en) * 1999-04-22 2000-07-11 Komag, Incorporated Method of sputtering a carbon protective film on a magnetic disk with high sp3 content
US6372291B1 (en) 1999-12-23 2002-04-16 Applied Materials, Inc. In situ deposition and integration of silicon nitride in a high density plasma reactor
US6596343B1 (en) 2000-04-21 2003-07-22 Applied Materials, Inc. Method and apparatus for processing semiconductor substrates with hydroxyl radicals
US6764958B1 (en) 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6465366B1 (en) 2000-09-12 2002-10-15 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
US6531398B1 (en) 2000-10-30 2003-03-11 Applied Materials, Inc. Method of depositing organosillicate layers
US6537733B2 (en) 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US20020142104A1 (en) 2001-03-28 2002-10-03 Applied Materials, Inc. Plasma treatment of organosilicate layers
US6511924B2 (en) 2001-04-20 2003-01-28 Applied Materials, Inc. Method of forming a silicon oxide layer on a substrate
US7159597B2 (en) 2001-06-01 2007-01-09 Applied Materials, Inc. Multistep remote plasma clean process
KR100825130B1 (ko) 2001-07-06 2008-04-24 어플라이드 머티어리얼스, 인코포레이티드 금속 에칭 공정 동안 플라즈마 에칭 챔버내에서 파티클을감소시키는 방법
US7060234B2 (en) 2001-07-18 2006-06-13 Applied Materials Process and apparatus for abatement of by products generated from deposition processes and cleaning of deposition chambers
US6541380B2 (en) 2001-07-24 2003-04-01 Applied Materials Inc. Plasma etching process for metals and metal oxides, including metals and metal oxides inert to oxidation
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6911346B2 (en) 2002-04-03 2005-06-28 Applied Materials, Inc. Method of etching a magnetic material
US20030211244A1 (en) 2002-04-11 2003-11-13 Applied Materials, Inc. Reacting an organosilicon compound with an oxidizing gas to form an ultra low k dielectric
US6902629B2 (en) 2002-04-12 2005-06-07 Applied Materials, Inc. Method for cleaning a process chamber
US6815373B2 (en) 2002-04-16 2004-11-09 Applied Materials Inc. Use of cyclic siloxanes for hardness improvement of low k dielectric films
US6812153B2 (en) 2002-04-30 2004-11-02 Applied Materials Inc. Method for high aspect ratio HDP CVD gapfill
US7060330B2 (en) 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US7056560B2 (en) 2002-05-08 2006-06-06 Applies Materials Inc. Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
US7247221B2 (en) 2002-05-17 2007-07-24 Applied Films Corporation System and apparatus for control of sputter deposition process
US7320942B2 (en) 2002-05-21 2008-01-22 Applied Materials, Inc. Method for removal of metallic residue after plasma etching of a metal layer
US6984585B2 (en) 2002-08-12 2006-01-10 Applied Materials Inc Method for removal of residue from a magneto-resistive random access memory (MRAM) film stack using a sacrificial mask layer
US6964928B2 (en) 2002-08-29 2005-11-15 Chentsau Ying Method for removing residue from a magneto-resistive random access memory (MRAM) film stack using a dual mask
US6759263B2 (en) 2002-08-29 2004-07-06 Chentsau Ying Method of patterning a layer of magnetic material
US7141138B2 (en) 2002-09-13 2006-11-28 Applied Materials, Inc. Gas delivery system for semiconductor processing
US20040231798A1 (en) 2002-09-13 2004-11-25 Applied Materials, Inc. Gas delivery system for semiconductor processing
US7749563B2 (en) 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
US6822185B2 (en) 2002-10-08 2004-11-23 Applied Materials, Inc. Temperature controlled dome-coil system for high power inductively coupled plasma systems
US6797643B2 (en) 2002-10-23 2004-09-28 Applied Materials Inc. Plasma enhanced CVD low k carbon-doped silicon oxide film deposition using VHF-RF power
US6878620B2 (en) 2002-11-12 2005-04-12 Applied Materials, Inc. Side wall passivation films for damascene cu/low k electronic devices
US7105361B2 (en) 2003-01-06 2006-09-12 Applied Materials, Inc. Method of etching a magnetic material
US6933239B2 (en) 2003-01-13 2005-08-23 Applied Materials, Inc. Method for removing conductive residue
US6897163B2 (en) 2003-01-31 2005-05-24 Applied Materials, Inc. Method for depositing a low dielectric constant film
US6943039B2 (en) 2003-02-11 2005-09-13 Applied Materials Inc. Method of etching ferroelectric layers
US6942813B2 (en) 2003-03-05 2005-09-13 Applied Materials, Inc. Method of etching magnetic and ferroelectric materials using a pulsed bias source
US6841484B2 (en) 2003-04-17 2005-01-11 Chentsau Ying Method of fabricating a magneto-resistive random access memory (MRAM) device
KR100972812B1 (ko) 2004-03-24 2010-07-28 어플라이드 머티어리얼스, 인코포레이티드 선택가능한 듀얼 포지션 마그네트론
US7176105B2 (en) 2004-06-01 2007-02-13 Applied Materials, Inc. Dielectric gap fill with oxide selectively deposited over silicon liner
US7087536B2 (en) 2004-09-01 2006-08-08 Applied Materials Silicon oxide gapfill deposition using liquid precursors
US7485210B2 (en) * 2004-10-07 2009-02-03 International Business Machines Corporation Sputtering target fixture
US7189639B2 (en) 2005-02-10 2007-03-13 Applied Materials, Inc. Use of germanium dioxide and/or alloys of GeO2 with silicon dioxide for semiconductor dielectric applications
US7465680B2 (en) 2005-09-07 2008-12-16 Applied Materials, Inc. Post deposition plasma treatment to increase tensile stress of HDP-CVD SIO2
US8021527B2 (en) 2005-09-14 2011-09-20 Applied Materials, Inc. Coaxial shafts for radial positioning of rotating magnetron
US9127362B2 (en) 2005-10-31 2015-09-08 Applied Materials, Inc. Process kit and target for substrate processing chamber
US7842355B2 (en) 2005-11-01 2010-11-30 Applied Materials, Inc. System and method for modulation of power and power related functions of PECVD discharge sources to achieve new film properties
US7422664B2 (en) 2006-02-03 2008-09-09 Applied Materials, Inc. Method for plasma ignition
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US7498273B2 (en) 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7902080B2 (en) 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US20070283884A1 (en) 2006-05-30 2007-12-13 Applied Materials, Inc. Ring assembly for substrate processing chamber
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
EA201101662A1 (ru) 2006-07-13 2012-05-30 Тиэр Коутингз Лимитед Устройство для нанесения покрытий и способ нанесения покрытий
US7989366B2 (en) 2006-08-31 2011-08-02 Applied Materials, Inc. Dopant activation in doped semiconductor substrates
US20080102640A1 (en) 2006-10-30 2008-05-01 Applied Materials, Inc. Etching oxide with high selectivity to titanium nitride
US7550927B2 (en) 2006-11-09 2009-06-23 Applied Materials, Inc. System and method for generating ions and radicals
US7758698B2 (en) 2006-11-28 2010-07-20 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US20080121177A1 (en) 2006-11-28 2008-05-29 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
US20080142483A1 (en) 2006-12-07 2008-06-19 Applied Materials, Inc. Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills
US20080188090A1 (en) 2007-02-02 2008-08-07 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
US7572647B2 (en) 2007-02-02 2009-08-11 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
US7789993B2 (en) 2007-02-02 2010-09-07 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
WO2008100315A1 (en) 2007-02-15 2008-08-21 Applied Materials, Inc. Localized linear microwave source array pumping to control localized partial pressure in flat and 3 dimensional pecvd coatings
US8968536B2 (en) 2007-06-18 2015-03-03 Applied Materials, Inc. Sputtering target having increased life and sputtering uniformity
US20090050468A1 (en) 2007-08-22 2009-02-26 Applied Materials, Inc. Controlled surface oxidation of aluminum interconnect
US7745352B2 (en) 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
WO2009096953A1 (en) 2008-01-30 2009-08-06 Applied Materials, Inc. Integrated microwave waveguide with impedance transition
US20110076420A1 (en) 2008-01-30 2011-03-31 Applied Materials, Inc. High efficiency low energy microwave ion/electron source
US8153348B2 (en) 2008-02-20 2012-04-10 Applied Materials, Inc. Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch
US7993733B2 (en) 2008-02-20 2011-08-09 Applied Materials, Inc. Index modified coating on polymer substrate
US7704897B2 (en) 2008-02-22 2010-04-27 Applied Materials, Inc. HDP-CVD SiON films for gap-fill
US20090238998A1 (en) 2008-03-18 2009-09-24 Applied Materials, Inc. Coaxial microwave assisted deposition and etch systems
US20090238993A1 (en) 2008-03-19 2009-09-24 Applied Materials, Inc. Surface preheating treatment of plastics substrate
US8057649B2 (en) 2008-05-06 2011-11-15 Applied Materials, Inc. Microwave rotatable sputtering deposition
US8349156B2 (en) 2008-05-14 2013-01-08 Applied Materials, Inc. Microwave-assisted rotatable PVD
US8568571B2 (en) 2008-05-21 2013-10-29 Applied Materials, Inc. Thin film batteries and methods for manufacturing same
US20100078315A1 (en) 2008-09-26 2010-04-01 Applied Materials, Inc. Microstrip antenna assisted ipvd
US20100078320A1 (en) 2008-09-26 2010-04-01 Applied Materials, Inc. Microwave plasma containment shield shaping
US20100081293A1 (en) 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US7967913B2 (en) 2008-10-22 2011-06-28 Applied Materials, Inc. Remote plasma clean process with cycled high and low pressure clean steps
US7829456B2 (en) 2008-10-23 2010-11-09 Applied Materials, Inc. Method to modulate coverage of barrier and seed layer using titanium nitride
KR20120004502A (ko) 2009-04-03 2012-01-12 어플라이드 머티어리얼스, 인코포레이티드 고압 rf-dc 스퍼터링과 이 프로세스의 단차 도포성 및 막 균일성을 개선하기 위한 방법
TW201130007A (en) 2009-07-09 2011-09-01 Applied Materials Inc High efficiency low energy microwave ion/electron source
US20110151590A1 (en) 2009-08-05 2011-06-23 Applied Materials, Inc. Apparatus and method for low-k dielectric repair
WO2011019566A2 (en) 2009-08-11 2011-02-17 Applied Materials, Inc. Process kit for rf physical vapor deposition
US8114761B2 (en) 2009-11-30 2012-02-14 Applied Materials, Inc. Method for doping non-planar transistors
US20110209995A1 (en) 2010-03-01 2011-09-01 Applied Materials, Inc. Physical Vapor Deposition With A Variable Capacitive Tuner and Feedback Circuit
US8404583B2 (en) 2010-03-12 2013-03-26 Applied Materials, Inc. Conformality of oxide layers along sidewalls of deep vias
US20120058281A1 (en) 2010-03-12 2012-03-08 Applied Materials, Inc. Methods for forming low moisture dielectric films
US20120015113A1 (en) 2010-07-13 2012-01-19 Applied Materials, Inc. Methods for forming low stress dielectric films
US8314033B2 (en) 2010-09-24 2012-11-20 Applied Materials, Inc. Method of patterning a low-k dielectric film
KR101893471B1 (ko) 2011-02-15 2018-08-30 어플라이드 머티어리얼스, 인코포레이티드 멀티존 플라즈마 생성을 위한 방법 및 장치
WO2012148621A2 (en) 2011-04-25 2012-11-01 Applied Materials, Inc. Apparatus and methods for microwave processing of semiconductor substrates
US8940642B2 (en) 2011-07-20 2015-01-27 Applied Materials, Inc. Method of multiple patterning of a low-K dielectric film
US8980754B2 (en) 2011-07-20 2015-03-17 Applied Materials, Inc. Method of removing a photoresist from a low-k dielectric film
US8741775B2 (en) 2011-07-20 2014-06-03 Applied Materials, Inc. Method of patterning a low-K dielectric film
US20130248352A1 (en) 2011-09-09 2013-09-26 Applied Materials, Inc. Multiple Frequency Sputtering for Enhancement in Deposition Rate and Growth Kinetics of Dielectric Materials
US9218961B2 (en) 2011-09-19 2015-12-22 Applied Materials, Inc. Methods of forming a metal containing layer on a substrate with high uniformity and good profile control
US20130252440A1 (en) 2011-09-26 2013-09-26 Applied Materials, Inc. Pretreatment and improved dielectric coverage
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
US8871650B2 (en) 2011-10-28 2014-10-28 Applied Materials, Inc. Post etch treatment (PET) of a low-K dielectric film
WO2013063260A1 (en) 2011-10-28 2013-05-02 Applied Materials, Inc. High temperature tungsten metallization process
US9499901B2 (en) 2012-01-27 2016-11-22 Applied Materials, Inc. High density TiN RF/DC PVD deposition with stress tuning
US9611539B2 (en) 2012-01-27 2017-04-04 Applied Materials, Inc. Crystalline orientation and overhang control in collision based RF plasmas
US8802572B2 (en) 2012-07-10 2014-08-12 Applied Materials, Inc. Method of patterning a low-k dielectric film
DE102012219930A1 (de) 2012-10-31 2014-04-30 Federal-Mogul Burscheid Gmbh Gleitelement, insbesondere Kolbenring, mit einer Beschichtung
US9165783B2 (en) 2012-11-01 2015-10-20 Applied Materials, Inc. Method of patterning a low-k dielectric film
US20140131308A1 (en) 2012-11-14 2014-05-15 Roman Gouk Pattern fortification for hdd bit patterned media pattern transfer
US8932959B2 (en) 2012-12-19 2015-01-13 Applied Materials, Inc. Method and system for etching plural layers on a workpiece including a lower layer containing an advanced memory material
FR3000601B1 (fr) 2012-12-28 2016-12-09 Commissariat Energie Atomique Procede de formation des espaceurs d'une grille d'un transistor
FR3000602B1 (fr) 2012-12-28 2016-06-24 Commissariat A L Energie Atomique Et Aux Energies Alternatives Procede de gravure d'un materiau dielectrique poreux
US20140186544A1 (en) 2013-01-02 2014-07-03 Applied Materials, Inc. Metal processing using high density plasma
US9093389B2 (en) 2013-01-16 2015-07-28 Applied Materials, Inc. Method of patterning a silicon nitride dielectric film
US8987139B2 (en) 2013-01-29 2015-03-24 Applied Materials, Inc. Method of patterning a low-k dielectric film
US9006106B2 (en) 2013-03-14 2015-04-14 Applied Materials, Inc. Method of removing a metal hardmask
US20140263173A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Methods for improving etching resistance for an amorphous carbon film
US8748322B1 (en) 2013-04-16 2014-06-10 Applied Materials, Inc. Silicon oxide recess etch
US20140342569A1 (en) 2013-05-16 2014-11-20 Applied Materials, Inc. Near surface etch selectivity enhancement
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US20140357083A1 (en) 2013-05-31 2014-12-04 Applied Materials, Inc. Directed block copolymer self-assembly patterns for advanced photolithography applications
US20150042017A1 (en) 2013-08-06 2015-02-12 Applied Materials, Inc. Three-dimensional (3d) processing and printing with plasma sources
US9543163B2 (en) 2013-08-20 2017-01-10 Applied Materials, Inc. Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process
US8980758B1 (en) 2013-09-17 2015-03-17 Applied Materials, Inc. Methods for etching an etching stop layer utilizing a cyclical etching process
US9530674B2 (en) 2013-10-02 2016-12-27 Applied Materials, Inc. Method and system for three-dimensional (3D) structure fill
US9576810B2 (en) 2013-10-03 2017-02-21 Applied Materials, Inc. Process for etching metal using a combination of plasma and solid state sources
US9379021B2 (en) 2013-10-03 2016-06-28 Applied Materials, Inc. Method to reduce K value of dielectric layer for advanced FinFET formation
US9698015B2 (en) 2013-10-21 2017-07-04 Applied Materials, Inc. Method for patterning a semiconductor substrate
US9214377B2 (en) 2013-10-31 2015-12-15 Applied Materials, Inc. Methods for silicon recess structures in a substrate by utilizing a doping layer
US9305796B2 (en) 2013-11-05 2016-04-05 Applied Materials, Inc. Methods for etching silicon using hydrogen radicals in a hot wire chemical vapor deposition chamber
US9514953B2 (en) 2013-11-20 2016-12-06 Applied Materials, Inc. Methods for barrier layer removal
US9257330B2 (en) 2013-11-27 2016-02-09 Applied Materials, Inc. Ultra-thin structure to protect copper and method of preparation
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US20150170943A1 (en) 2013-12-17 2015-06-18 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US20150170879A1 (en) 2013-12-17 2015-06-18 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9368448B2 (en) 2013-12-20 2016-06-14 Applied Materials, Inc. Metal-containing films as dielectric capping barrier for advanced interconnects
KR102311036B1 (ko) 2014-01-08 2021-10-07 어플라이드 머티어리얼스, 인코포레이티드 비정질 탄소 막들 내로의 이온 주입에 의한 고 에칭 선택성 하드마스크 재료의 개발
US20150200042A1 (en) 2014-01-10 2015-07-16 Applied Materials, Inc. Recessing ultra-low k dielectric using remote plasma source
US9299577B2 (en) 2014-01-24 2016-03-29 Applied Materials, Inc. Methods for etching a dielectric barrier layer in a dual damascene structure
US20150214066A1 (en) 2014-01-27 2015-07-30 Applied Materials, Inc. Method for material removal in dry etch reactor
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9508561B2 (en) 2014-03-11 2016-11-29 Applied Materials, Inc. Methods for forming interconnection structures in an integrated cluster system for semicondcutor applications
US9368370B2 (en) 2014-03-14 2016-06-14 Applied Materials, Inc. Temperature ramping using gas distribution plate heat
US9190290B2 (en) 2014-03-31 2015-11-17 Applied Materials, Inc. Halogen-free gas-phase silicon etch
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9382625B2 (en) 2014-05-01 2016-07-05 Applied Materials, Inc. Remote plasma source based cyclic CVD process for nanocrystalline diamond deposition
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US10039157B2 (en) 2014-06-02 2018-07-31 Applied Materials, Inc. Workpiece processing chamber having a rotary microwave plasma source
US10269541B2 (en) 2014-06-02 2019-04-23 Applied Materials, Inc. Workpiece processing chamber having a thermal controlled microwave window
US9548201B2 (en) 2014-06-20 2017-01-17 Applied Materials, Inc. Self-aligned multiple spacer patterning schemes for advanced nanometer technology
KR102422284B1 (ko) 2014-07-03 2022-07-15 어플라이드 머티어리얼스, 인코포레이티드 선택적인 증착을 위한 방법 및 장치
US9406522B2 (en) 2014-07-24 2016-08-02 Applied Materials, Inc. Single platform, multiple cycle spacer deposition and etch
US9540736B2 (en) 2014-07-29 2017-01-10 Applied Materials, Inc. Methods of etching films with reduced surface roughness
US9528185B2 (en) 2014-08-22 2016-12-27 Applied Materials, Inc. Plasma uniformity control by arrays of unit cell plasmas
TWI618225B (zh) 2014-09-03 2018-03-11 應用材料股份有限公司 用於三維nand硬遮罩應用的奈米結晶鑽石碳膜
US10332731B2 (en) * 2014-10-10 2019-06-25 The Board Of Trustees Of The University Of Illinois Method of and magnet assembly for high power pulsed magnetron sputtering
KR102360025B1 (ko) 2014-10-16 2022-02-08 삼성전자주식회사 비정질 탄소원자층의 형성방법 및 비정질 탄소원자층을 포함하는 전자소자
US9595467B2 (en) 2014-11-14 2017-03-14 Applied Materials, Inc. Air gap formation in interconnection structure by implantation process
US9640385B2 (en) 2015-02-16 2017-05-02 Applied Materials, Inc. Gate electrode material residual removal process
US9478433B1 (en) 2015-03-30 2016-10-25 Applied Materials, Inc. Cyclic spacer etching process with improved profile control
US9484202B1 (en) 2015-06-03 2016-11-01 Applied Materials, Inc. Apparatus and methods for spacer deposition and selective removal in an advanced patterning process
US9460959B1 (en) 2015-10-02 2016-10-04 Applied Materials, Inc. Methods for pre-cleaning conductive interconnect structures
US9716005B1 (en) 2016-03-18 2017-07-25 Applied Materials, Inc. Plasma poisoning to enable selective deposition

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007530787A (ja) * 2004-03-24 2007-11-01 アプライド マテリアルズ インコーポレイテッド 選択可能なデュアルポジション型マグネトロン
JP2011214150A (ja) * 2010-03-19 2011-10-27 Nanotec Corp 炭素膜の形成方法および装置
JP2013167353A (ja) * 2012-02-15 2013-08-29 Hauzer Techno Coating Bv ベアリングコンポーネント
JP2013253291A (ja) * 2012-06-07 2013-12-19 Toyo Advanced Technologies Co Ltd 摺動部材及びその製造方法
WO2016028640A1 (en) * 2014-08-22 2016-02-25 Applied Materials, Inc. A high power impulse magnetron sputtering process to achieve a high density high sp3 containing layer
JP2017534750A (ja) * 2014-08-22 2017-11-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高密度高Sp3含有層を実現するための高電力インパルスマグネトロンスパッタリング処理

Also Published As

Publication number Publication date
TW201817902A (zh) 2018-05-16
CN109642312A (zh) 2019-04-16
US20180051368A1 (en) 2018-02-22
JP6795686B2 (ja) 2020-12-02
US10858727B2 (en) 2020-12-08
WO2018034811A1 (en) 2018-02-22
CN109642312B (zh) 2021-01-01
KR20190032636A (ko) 2019-03-27

Similar Documents

Publication Publication Date Title
JP6795686B2 (ja) 高密度、低応力のアモルファスカーボン膜、ならびにその堆積のための方法および装置
JP6896869B2 (ja) 反応性ガス及びバイアス電力によって、pvdカーボンの膜品質を改善するための方法
US9659756B2 (en) Plasma etching apparatus and plasma cleaning method
JP5597456B2 (ja) 誘電体の厚さ設定方法、及び電極に設けられた誘電体を備える基板処理装置
TWI616552B (zh) 製程工具防護板及具有防護板之物理氣相沉積室
US9082720B2 (en) Semiconductor device manufacturing method
JP2016157735A (ja) プラズマ処理方法及びプラズマ処理装置
KR102569911B1 (ko) 포커스 링 및 기판 처리 장치
TW200904260A (en) Plasma species and uniformity control through pulsed VHF operation
JP2017534750A (ja) 高密度高Sp3含有層を実現するための高電力インパルスマグネトロンスパッタリング処理
US9460896B2 (en) Plasma processing method and plasma processing apparatus
KR20110055402A (ko) 기판 처리 장치 및 그 클리닝 방법 및 프로그램을 기록한 기록매체
US20200048760A1 (en) High power impulse magnetron sputtering physical vapor deposition of tungsten films having improved bottom coverage
JP2011179119A (ja) 熱拡散器を用いた物理蒸着装置及び方法
JP2015012249A (ja) プラズマエッチング方法及びプラズマエッチング装置
TWI766904B (zh) 用於在基板處理中減少顆粒的處理套件屏蔽、物理氣相沉積腔室及方法
CN111433884A (zh) 带纹理的处理腔室部件及带纹理的处理腔室部件的制造方法
JP4566373B2 (ja) 酸化膜エッチング方法
JP2004162138A (ja) プラズマ支援スパッタ成膜装置
TW201543532A (zh) 用於基板之電漿處理之方法及裝置
TWI435386B (zh) 被膜表面處理方法
TW200845287A (en) Electrostatic chuck and plasma processing equipment with electrostatic chuck
JP3729769B2 (ja) プラズマ処理装置
JP7405776B2 (ja) 保護コーティングを有するプロセスチャンバプロセスキット
JP2009275281A (ja) スパッタリング方法及び装置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190418

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200317

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20200318

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200617

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20201013

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20201112

R150 Certificate of patent or registration of utility model

Ref document number: 6795686

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150