JP2019529695A - 高密度、低応力のアモルファスカーボン膜、ならびにその堆積のための方法および装置 - Google Patents
高密度、低応力のアモルファスカーボン膜、ならびにその堆積のための方法および装置 Download PDFInfo
- Publication number
- JP2019529695A JP2019529695A JP2019509517A JP2019509517A JP2019529695A JP 2019529695 A JP2019529695 A JP 2019529695A JP 2019509517 A JP2019509517 A JP 2019509517A JP 2019509517 A JP2019509517 A JP 2019509517A JP 2019529695 A JP2019529695 A JP 2019529695A
- Authority
- JP
- Japan
- Prior art keywords
- pulse
- process chamber
- power
- magnetron assembly
- pole piece
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/06—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
- C23C14/0605—Carbon
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/22—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
- C23C14/34—Sputtering
- C23C14/3407—Cathode assembly for sputtering apparatus, e.g. Target
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/22—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
- C23C14/34—Sputtering
- C23C14/3485—Sputtering using pulsed power to the target
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/22—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
- C23C14/34—Sputtering
- C23C14/35—Sputtering by application of a magnetic field, e.g. magnetron sputtering
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/22—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
- C23C14/34—Sputtering
- C23C14/35—Sputtering by application of a magnetic field, e.g. magnetron sputtering
- C23C14/354—Introduction of auxiliary energy into the plasma
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/22—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
- C23C14/54—Controlling or regulating the coating process
- C23C14/541—Heating or cooling of the substrates
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C14/00—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
- C23C14/22—Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
- C23C14/54—Controlling or regulating the coating process
- C23C14/542—Controlling the film thickness or evaporation rate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32715—Workpiece holder
- H01J37/32724—Temperature
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/34—Gas-filled discharge tubes operating with cathodic sputtering
- H01J37/3402—Gas-filled discharge tubes operating with cathodic sputtering using supplementary magnetic fields
- H01J37/3405—Magnetron sputtering
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/34—Gas-filled discharge tubes operating with cathodic sputtering
- H01J37/3411—Constructional aspects of the reactor
- H01J37/3414—Targets
- H01J37/3426—Material
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/34—Gas-filled discharge tubes operating with cathodic sputtering
- H01J37/3411—Constructional aspects of the reactor
- H01J37/3435—Target holders (includes backing plates and endblocks)
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/34—Gas-filled discharge tubes operating with cathodic sputtering
- H01J37/3411—Constructional aspects of the reactor
- H01J37/345—Magnet arrangements in particular for cathodic sputtering apparatus
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/34—Gas-filled discharge tubes operating with cathodic sputtering
- H01J37/3411—Constructional aspects of the reactor
- H01J37/345—Magnet arrangements in particular for cathodic sputtering apparatus
- H01J37/3452—Magnet distribution
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/34—Gas-filled discharge tubes operating with cathodic sputtering
- H01J37/3464—Operating strategies
- H01J37/3467—Pulsed operation, e.g. HIPIMS
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02115—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02266—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by physical ablation of a target, e.g. sputtering, reactive sputtering, physical vapour deposition or pulsed laser deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/033—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
- H01L21/0332—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31144—Etching the insulating layers by chemical or physical means using masks
Abstract
Description
[0001]本出願は、2016年8月19日に出願された米国仮特許出願第62/377,325号および2017年5月19日に出願された米国特許出願第15/600,247号の利益を主張し、その全開示が、あらゆる目的のために参照により本明細書に組み込まれる。
Claims (9)
- プロセスチャンバと、
ワークピースを前記プロセスチャンバ内に配置するように構成されたペデスタルと、
マグネトロンアセンブリであって、
バッキングプレートと、
複数の第1の磁石であって、前記第1の磁石の各々のN極が前記バッキングプレートと結合している、複数の第1の磁石と、
前記第1の磁石の各々のS極と結合されたS磁極片と、
複数の第2の磁石であって、前記第2の磁石の各々のS極が前記バッキングプレートと結合している、複数の第2の磁石と、
前記第2の磁石の各々のN極と結合されたN磁極片であって、前記N磁極片と前記S磁極片との間の1つ以上の間隙を渡って磁場を伝えるように、前記N磁極片と前記S磁極片とが空間的に分離されている、N磁極片と、
前記マグネトロンアセンブリを囲み、前記マグネトロンアセンブリの周りに熱交換流体を循環させるように構成されたマグネトロンアセンブリサラウンドと、
前記マグネトロンアセンブリサラウンドの表面に配置された炭素ターゲットであって、前記磁場が前記炭素ターゲットを貫通して延びる、炭素ターゲットと
を含むマグネトロンアセンブリと、
前記プロセスチャンバにソースガスを供給するためのガス供給システムと、
前記プロセスチャンバ内の前記ソースガスから形成されたプラズマにDC電力のパルスを供給する電源であって、
DC電力の前記パルスが、40マイクロ秒以下のパルスで供給され、
前記パルスが、少なくとも4kHzの周波数で繰り返される、
電源と
を備える、高出力インパルスマグネトロンスパッタリングシステム。 - 前記ソースガスが、クリプトンを含む、請求項1に記載の高出力インパルスマグネトロンスパッタリングシステム。
- 前記ペデスタルが、前記ワークピースの温度を−20℃から200℃の範囲内に制御するように構成されており、特に、前記ペデスタルが、前記ワークピースの温度を−20℃から50℃の範囲内で制御するように構成されている、請求項1に記載の高出力インパルスマグネトロンスパッタリングシステム。
- 前記周波数が、少なくとも30kHzである、請求項1に記載の高出力インパルスマグネトロンスパッタリングシステム。
- 前記電源が、周期的に動作するように構成されており、各周期が、
前記パルスが前記周波数で繰り返されるオン期間であって、30秒から2分の継続期間であるオン期間と、
前記パルスが停止しているオフ期間であって、5秒から1分の継続期間であるオフ期間と
を含む、請求項1に記載の高出力インパルスマグネトロンスパッタリングシステム。 - ワークピース上にアモルファスカーボン膜を堆積させる方法であって、
前記ワークピースをプロセスチャンバ内に配置することと、
S磁極片およびN磁極片を備えるマグネトロンアセンブリであって、前記S磁極片および前記N磁極片が、前記N磁極片と前記S磁極片との間の1つ以上の間隙を渡って磁場を伝えるように配置されている、マグネトロンアセンブリを、前記プロセスチャンバに隣接してマグネトロンアセンブリサラウンド内に配置することと、
炭素ターゲットを、前記磁場が前記炭素ターゲットを貫通して前記ワークピースに向かって延び、前記炭素ターゲットが前記マグネトロンアセンブリサラウンドと電気的に結合されるように、前記マグネトロンアセンブリサラウンドと結合させることと、
ソースガスを前記プロセスチャンバに供給することと、
前記プロセスチャンバ内の前記ソースガスから形成されたプラズマにDC電力のパルスを供給することと
を含み、DC電力のパルスを供給することが、
DC電力の前記パルスを、40マイクロ秒以下のパルスで供給することと、
少なくとも4kHzの周波数で前記パルスを繰り返すことと
を含む、方法。 - DC電力のパルスを供給することが、30秒から2分のオン期間中に前記パルスを供給することを含み、
前記オン期間が、5秒から1分のオフ期間中に前記パルスを停止することと交互に繰り返す、請求項6に記載のアモルファスカーボン膜を堆積させる方法。 - DC電力のパルスを供給することが、少なくとも30kHzの周波数で前記パルスを繰り返すことを含む、請求項6に記載のアモルファスカーボン膜を堆積させる方法。
- ソースガスを供給することが、前記ソースガスの少なくとも一部としてクリプトンを供給することを含む、請求項6に記載のアモルファスカーボン膜を堆積させる方法。
Applications Claiming Priority (5)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US201662377325P | 2016-08-19 | 2016-08-19 | |
US62/377,325 | 2016-08-19 | ||
US15/600,247 | 2017-05-19 | ||
US15/600,247 US10858727B2 (en) | 2016-08-19 | 2017-05-19 | High density, low stress amorphous carbon film, and process and equipment for its deposition |
PCT/US2017/043930 WO2018034811A1 (en) | 2016-08-19 | 2017-07-26 | High density, low stress amorphous carbon film, and process and equipment for its deposition |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2019529695A true JP2019529695A (ja) | 2019-10-17 |
JP6795686B2 JP6795686B2 (ja) | 2020-12-02 |
Family
ID=61191353
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2019509517A Active JP6795686B2 (ja) | 2016-08-19 | 2017-07-26 | 高密度、低応力のアモルファスカーボン膜、ならびにその堆積のための方法および装置 |
Country Status (6)
Country | Link |
---|---|
US (1) | US10858727B2 (ja) |
JP (1) | JP6795686B2 (ja) |
KR (1) | KR20190032636A (ja) |
CN (1) | CN109642312B (ja) |
TW (1) | TW201817902A (ja) |
WO (1) | WO2018034811A1 (ja) |
Families Citing this family (19)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10570506B2 (en) * | 2017-01-24 | 2020-02-25 | Applied Materials, Inc. | Method to improve film quality for PVD carbon with reactive gas and bias power |
US10622214B2 (en) | 2017-05-25 | 2020-04-14 | Applied Materials, Inc. | Tungsten defluorination by high pressure treatment |
US10276411B2 (en) | 2017-08-18 | 2019-04-30 | Applied Materials, Inc. | High pressure and high temperature anneal chamber |
WO2019036157A1 (en) | 2017-08-18 | 2019-02-21 | Applied Materials, Inc. | HIGH PRESSURE AND HIGH TEMPERATURE RECOVERY CHAMBER |
EP4321649A2 (en) | 2017-11-11 | 2024-02-14 | Micromaterials LLC | Gas delivery system for high pressure processing chamber |
KR20200075892A (ko) | 2017-11-17 | 2020-06-26 | 어플라이드 머티어리얼스, 인코포레이티드 | 고압 처리 시스템을 위한 컨덴서 시스템 |
JP7239598B2 (ja) | 2018-03-09 | 2023-03-14 | アプライド マテリアルズ インコーポレイテッド | 金属含有材料の高圧アニーリングプロセス |
WO2019199681A1 (en) | 2018-04-09 | 2019-10-17 | Applied Materials, Inc. | Carbon hard masks for patterning applications and methods related thereto |
SG11202009289PA (en) * | 2018-05-03 | 2020-11-27 | Applied Materials Inc | Pulsed plasma (dc/rf) deposition of high quality c films for patterning |
US10950429B2 (en) | 2018-05-08 | 2021-03-16 | Applied Materials, Inc. | Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom |
US10748783B2 (en) | 2018-07-25 | 2020-08-18 | Applied Materials, Inc. | Gas delivery module |
WO2020117462A1 (en) | 2018-12-07 | 2020-06-11 | Applied Materials, Inc. | Semiconductor processing system |
CN110184577B (zh) * | 2019-06-19 | 2021-06-11 | 中国科学院宁波材料技术与工程研究所 | 柔性基底表面兼具压阻性能与韧性的非晶碳膜的制备方法及其应用 |
MX2022002251A (es) * | 2019-08-21 | 2023-03-09 | Saint Gobain | Recubrimientos que se pueden revenir que tienen carbono tipo diamante y deposicion por medio de pulverizacion con magnetron de impulso de alta potencia. |
CN112853286A (zh) * | 2019-11-12 | 2021-05-28 | 应用材料公司 | 压电膜的物理气相沉积 |
US11901222B2 (en) | 2020-02-17 | 2024-02-13 | Applied Materials, Inc. | Multi-step process for flowable gap-fill film |
US20230223263A1 (en) * | 2020-05-05 | 2023-07-13 | Lam Research Corporation | Inert gas implantation for hard mask selectivity improvement |
CN111748789B (zh) * | 2020-07-10 | 2022-06-24 | 哈尔滨工业大学 | 一种石墨阴极弧增强辉光放电沉积纯dlc的装置及其方法 |
CN113718219B (zh) * | 2021-08-30 | 2023-11-14 | 长江先进存储产业创新中心有限责任公司 | 薄膜沉积方法及薄膜沉积设备 |
Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2007530787A (ja) * | 2004-03-24 | 2007-11-01 | アプライド マテリアルズ インコーポレイテッド | 選択可能なデュアルポジション型マグネトロン |
JP2011214150A (ja) * | 2010-03-19 | 2011-10-27 | Nanotec Corp | 炭素膜の形成方法および装置 |
JP2013167353A (ja) * | 2012-02-15 | 2013-08-29 | Hauzer Techno Coating Bv | ベアリングコンポーネント |
JP2013253291A (ja) * | 2012-06-07 | 2013-12-19 | Toyo Advanced Technologies Co Ltd | 摺動部材及びその製造方法 |
WO2016028640A1 (en) * | 2014-08-22 | 2016-02-25 | Applied Materials, Inc. | A high power impulse magnetron sputtering process to achieve a high density high sp3 containing layer |
Family Cites Families (179)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6347636B1 (en) | 1996-11-13 | 2002-02-19 | Applied Materials, Inc. | Methods and apparatus for gettering fluorine from chamber material surfaces |
US6114216A (en) | 1996-11-13 | 2000-09-05 | Applied Materials, Inc. | Methods for shallow trench isolation |
US5812403A (en) | 1996-11-13 | 1998-09-22 | Applied Materials, Inc. | Methods and apparatus for cleaning surfaces in a substrate processing system |
US5963840A (en) | 1996-11-13 | 1999-10-05 | Applied Materials, Inc. | Methods for depositing premetal dielectric layer at sub-atmospheric and high temperature conditions |
US5935340A (en) | 1996-11-13 | 1999-08-10 | Applied Materials, Inc. | Method and apparatus for gettering fluorine from chamber material surfaces |
DE19651615C1 (de) | 1996-12-12 | 1997-07-10 | Fraunhofer Ges Forschung | Verfahren zum Aufbringen von Kohlenstoffschichten durch reaktives Magnetron-Sputtern |
US6090206A (en) | 1997-10-20 | 2000-07-18 | Applied Materials, Inc. | Throttle valve providing enhanced cleaning |
US6265318B1 (en) | 1998-01-13 | 2001-07-24 | Applied Materials, Inc. | Iridium etchant methods for anisotropic profile |
US6323132B1 (en) | 1998-01-13 | 2001-11-27 | Applied Materials, Inc. | Etching methods for anisotropic platinum profile |
US6919168B2 (en) | 1998-01-13 | 2005-07-19 | Applied Materials, Inc. | Masking methods and etching sequences for patterning electrodes of high density RAM capacitors |
US6413583B1 (en) | 1998-02-11 | 2002-07-02 | Applied Materials, Inc. | Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound |
US6338777B1 (en) | 1998-10-23 | 2002-01-15 | International Business Machines Corporation | Method and apparatus for sputtering thin films |
US6086730A (en) * | 1999-04-22 | 2000-07-11 | Komag, Incorporated | Method of sputtering a carbon protective film on a magnetic disk with high sp3 content |
US6372291B1 (en) | 1999-12-23 | 2002-04-16 | Applied Materials, Inc. | In situ deposition and integration of silicon nitride in a high density plasma reactor |
US6596343B1 (en) | 2000-04-21 | 2003-07-22 | Applied Materials, Inc. | Method and apparatus for processing semiconductor substrates with hydroxyl radicals |
US6764958B1 (en) | 2000-07-28 | 2004-07-20 | Applied Materials Inc. | Method of depositing dielectric films |
US6465366B1 (en) | 2000-09-12 | 2002-10-15 | Applied Materials, Inc. | Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers |
US6531398B1 (en) | 2000-10-30 | 2003-03-11 | Applied Materials, Inc. | Method of depositing organosillicate layers |
US6537733B2 (en) | 2001-02-23 | 2003-03-25 | Applied Materials, Inc. | Method of depositing low dielectric constant silicon carbide layers |
US20020142104A1 (en) | 2001-03-28 | 2002-10-03 | Applied Materials, Inc. | Plasma treatment of organosilicate layers |
US6511924B2 (en) | 2001-04-20 | 2003-01-28 | Applied Materials, Inc. | Method of forming a silicon oxide layer on a substrate |
US7159597B2 (en) | 2001-06-01 | 2007-01-09 | Applied Materials, Inc. | Multistep remote plasma clean process |
KR100825130B1 (ko) | 2001-07-06 | 2008-04-24 | 어플라이드 머티어리얼스, 인코포레이티드 | 금속 에칭 공정 동안 플라즈마 에칭 챔버내에서 파티클을감소시키는 방법 |
US7060234B2 (en) | 2001-07-18 | 2006-06-13 | Applied Materials | Process and apparatus for abatement of by products generated from deposition processes and cleaning of deposition chambers |
US6541380B2 (en) | 2001-07-24 | 2003-04-01 | Applied Materials Inc. | Plasma etching process for metals and metal oxides, including metals and metal oxides inert to oxidation |
US6890850B2 (en) | 2001-12-14 | 2005-05-10 | Applied Materials, Inc. | Method of depositing dielectric materials in damascene applications |
US6911346B2 (en) | 2002-04-03 | 2005-06-28 | Applied Materials, Inc. | Method of etching a magnetic material |
US20030211244A1 (en) | 2002-04-11 | 2003-11-13 | Applied Materials, Inc. | Reacting an organosilicon compound with an oxidizing gas to form an ultra low k dielectric |
US6902629B2 (en) | 2002-04-12 | 2005-06-07 | Applied Materials, Inc. | Method for cleaning a process chamber |
US6815373B2 (en) | 2002-04-16 | 2004-11-09 | Applied Materials Inc. | Use of cyclic siloxanes for hardness improvement of low k dielectric films |
US6812153B2 (en) | 2002-04-30 | 2004-11-02 | Applied Materials Inc. | Method for high aspect ratio HDP CVD gapfill |
US7060330B2 (en) | 2002-05-08 | 2006-06-13 | Applied Materials, Inc. | Method for forming ultra low k films using electron beam |
US7056560B2 (en) | 2002-05-08 | 2006-06-06 | Applies Materials Inc. | Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD) |
US7247221B2 (en) | 2002-05-17 | 2007-07-24 | Applied Films Corporation | System and apparatus for control of sputter deposition process |
US7320942B2 (en) | 2002-05-21 | 2008-01-22 | Applied Materials, Inc. | Method for removal of metallic residue after plasma etching of a metal layer |
US6984585B2 (en) | 2002-08-12 | 2006-01-10 | Applied Materials Inc | Method for removal of residue from a magneto-resistive random access memory (MRAM) film stack using a sacrificial mask layer |
US6964928B2 (en) | 2002-08-29 | 2005-11-15 | Chentsau Ying | Method for removing residue from a magneto-resistive random access memory (MRAM) film stack using a dual mask |
US6759263B2 (en) | 2002-08-29 | 2004-07-06 | Chentsau Ying | Method of patterning a layer of magnetic material |
US7141138B2 (en) | 2002-09-13 | 2006-11-28 | Applied Materials, Inc. | Gas delivery system for semiconductor processing |
US20040231798A1 (en) | 2002-09-13 | 2004-11-25 | Applied Materials, Inc. | Gas delivery system for semiconductor processing |
US7749563B2 (en) | 2002-10-07 | 2010-07-06 | Applied Materials, Inc. | Two-layer film for next generation damascene barrier application with good oxidation resistance |
US6822185B2 (en) | 2002-10-08 | 2004-11-23 | Applied Materials, Inc. | Temperature controlled dome-coil system for high power inductively coupled plasma systems |
US6797643B2 (en) | 2002-10-23 | 2004-09-28 | Applied Materials Inc. | Plasma enhanced CVD low k carbon-doped silicon oxide film deposition using VHF-RF power |
US6878620B2 (en) | 2002-11-12 | 2005-04-12 | Applied Materials, Inc. | Side wall passivation films for damascene cu/low k electronic devices |
US7105361B2 (en) | 2003-01-06 | 2006-09-12 | Applied Materials, Inc. | Method of etching a magnetic material |
US6933239B2 (en) | 2003-01-13 | 2005-08-23 | Applied Materials, Inc. | Method for removing conductive residue |
US6897163B2 (en) | 2003-01-31 | 2005-05-24 | Applied Materials, Inc. | Method for depositing a low dielectric constant film |
US6943039B2 (en) | 2003-02-11 | 2005-09-13 | Applied Materials Inc. | Method of etching ferroelectric layers |
US6942813B2 (en) | 2003-03-05 | 2005-09-13 | Applied Materials, Inc. | Method of etching magnetic and ferroelectric materials using a pulsed bias source |
US6841484B2 (en) | 2003-04-17 | 2005-01-11 | Chentsau Ying | Method of fabricating a magneto-resistive random access memory (MRAM) device |
KR100972812B1 (ko) | 2004-03-24 | 2010-07-28 | 어플라이드 머티어리얼스, 인코포레이티드 | 선택가능한 듀얼 포지션 마그네트론 |
US7176105B2 (en) | 2004-06-01 | 2007-02-13 | Applied Materials, Inc. | Dielectric gap fill with oxide selectively deposited over silicon liner |
US7087536B2 (en) | 2004-09-01 | 2006-08-08 | Applied Materials | Silicon oxide gapfill deposition using liquid precursors |
US7485210B2 (en) * | 2004-10-07 | 2009-02-03 | International Business Machines Corporation | Sputtering target fixture |
US7189639B2 (en) | 2005-02-10 | 2007-03-13 | Applied Materials, Inc. | Use of germanium dioxide and/or alloys of GeO2 with silicon dioxide for semiconductor dielectric applications |
US7465680B2 (en) | 2005-09-07 | 2008-12-16 | Applied Materials, Inc. | Post deposition plasma treatment to increase tensile stress of HDP-CVD SIO2 |
US8021527B2 (en) | 2005-09-14 | 2011-09-20 | Applied Materials, Inc. | Coaxial shafts for radial positioning of rotating magnetron |
US9127362B2 (en) | 2005-10-31 | 2015-09-08 | Applied Materials, Inc. | Process kit and target for substrate processing chamber |
US7842355B2 (en) | 2005-11-01 | 2010-11-30 | Applied Materials, Inc. | System and method for modulation of power and power related functions of PECVD discharge sources to achieve new film properties |
US7422664B2 (en) | 2006-02-03 | 2008-09-09 | Applied Materials, Inc. | Method for plasma ignition |
US7524750B2 (en) | 2006-04-17 | 2009-04-28 | Applied Materials, Inc. | Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD |
US7498273B2 (en) | 2006-05-30 | 2009-03-03 | Applied Materials, Inc. | Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes |
US7902080B2 (en) | 2006-05-30 | 2011-03-08 | Applied Materials, Inc. | Deposition-plasma cure cycle process to enhance film quality of silicon dioxide |
US7790634B2 (en) | 2006-05-30 | 2010-09-07 | Applied Materials, Inc | Method for depositing and curing low-k films for gapfill and conformal film applications |
US20070283884A1 (en) | 2006-05-30 | 2007-12-13 | Applied Materials, Inc. | Ring assembly for substrate processing chamber |
US8232176B2 (en) | 2006-06-22 | 2012-07-31 | Applied Materials, Inc. | Dielectric deposition and etch back processes for bottom up gapfill |
EA201101662A1 (ru) | 2006-07-13 | 2012-05-30 | Тиэр Коутингз Лимитед | Устройство для нанесения покрытий и способ нанесения покрытий |
US7989366B2 (en) | 2006-08-31 | 2011-08-02 | Applied Materials, Inc. | Dopant activation in doped semiconductor substrates |
US20080102640A1 (en) | 2006-10-30 | 2008-05-01 | Applied Materials, Inc. | Etching oxide with high selectivity to titanium nitride |
US7550927B2 (en) | 2006-11-09 | 2009-06-23 | Applied Materials, Inc. | System and method for generating ions and radicals |
US7758698B2 (en) | 2006-11-28 | 2010-07-20 | Applied Materials, Inc. | Dual top gas feed through distributor for high density plasma chamber |
US20080121177A1 (en) | 2006-11-28 | 2008-05-29 | Applied Materials, Inc. | Dual top gas feed through distributor for high density plasma chamber |
US20080142483A1 (en) | 2006-12-07 | 2008-06-19 | Applied Materials, Inc. | Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills |
US20080188090A1 (en) | 2007-02-02 | 2008-08-07 | Applied Materials, Inc. | Internal balanced coil for inductively coupled high density plasma processing chamber |
US7572647B2 (en) | 2007-02-02 | 2009-08-11 | Applied Materials, Inc. | Internal balanced coil for inductively coupled high density plasma processing chamber |
US7789993B2 (en) | 2007-02-02 | 2010-09-07 | Applied Materials, Inc. | Internal balanced coil for inductively coupled high density plasma processing chamber |
WO2008100315A1 (en) | 2007-02-15 | 2008-08-21 | Applied Materials, Inc. | Localized linear microwave source array pumping to control localized partial pressure in flat and 3 dimensional pecvd coatings |
US8968536B2 (en) | 2007-06-18 | 2015-03-03 | Applied Materials, Inc. | Sputtering target having increased life and sputtering uniformity |
US20090050468A1 (en) | 2007-08-22 | 2009-02-26 | Applied Materials, Inc. | Controlled surface oxidation of aluminum interconnect |
US7745352B2 (en) | 2007-08-27 | 2010-06-29 | Applied Materials, Inc. | Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process |
US7943531B2 (en) | 2007-10-22 | 2011-05-17 | Applied Materials, Inc. | Methods for forming a silicon oxide layer over a substrate |
US7867923B2 (en) | 2007-10-22 | 2011-01-11 | Applied Materials, Inc. | High quality silicon oxide films by remote plasma CVD from disilane precursors |
US7541297B2 (en) | 2007-10-22 | 2009-06-02 | Applied Materials, Inc. | Method and system for improving dielectric film quality for void free gap fill |
WO2009096953A1 (en) | 2008-01-30 | 2009-08-06 | Applied Materials, Inc. | Integrated microwave waveguide with impedance transition |
US20110076420A1 (en) | 2008-01-30 | 2011-03-31 | Applied Materials, Inc. | High efficiency low energy microwave ion/electron source |
US8153348B2 (en) | 2008-02-20 | 2012-04-10 | Applied Materials, Inc. | Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch |
US7993733B2 (en) | 2008-02-20 | 2011-08-09 | Applied Materials, Inc. | Index modified coating on polymer substrate |
US7704897B2 (en) | 2008-02-22 | 2010-04-27 | Applied Materials, Inc. | HDP-CVD SiON films for gap-fill |
US20090238998A1 (en) | 2008-03-18 | 2009-09-24 | Applied Materials, Inc. | Coaxial microwave assisted deposition and etch systems |
US20090238993A1 (en) | 2008-03-19 | 2009-09-24 | Applied Materials, Inc. | Surface preheating treatment of plastics substrate |
US8057649B2 (en) | 2008-05-06 | 2011-11-15 | Applied Materials, Inc. | Microwave rotatable sputtering deposition |
US8349156B2 (en) | 2008-05-14 | 2013-01-08 | Applied Materials, Inc. | Microwave-assisted rotatable PVD |
US8568571B2 (en) | 2008-05-21 | 2013-10-29 | Applied Materials, Inc. | Thin film batteries and methods for manufacturing same |
US20100078315A1 (en) | 2008-09-26 | 2010-04-01 | Applied Materials, Inc. | Microstrip antenna assisted ipvd |
US20100078320A1 (en) | 2008-09-26 | 2010-04-01 | Applied Materials, Inc. | Microwave plasma containment shield shaping |
US20100081293A1 (en) | 2008-10-01 | 2010-04-01 | Applied Materials, Inc. | Methods for forming silicon nitride based film or silicon carbon based film |
US7967913B2 (en) | 2008-10-22 | 2011-06-28 | Applied Materials, Inc. | Remote plasma clean process with cycled high and low pressure clean steps |
US7829456B2 (en) | 2008-10-23 | 2010-11-09 | Applied Materials, Inc. | Method to modulate coverage of barrier and seed layer using titanium nitride |
KR20120004502A (ko) | 2009-04-03 | 2012-01-12 | 어플라이드 머티어리얼스, 인코포레이티드 | 고압 rf-dc 스퍼터링과 이 프로세스의 단차 도포성 및 막 균일성을 개선하기 위한 방법 |
TW201130007A (en) | 2009-07-09 | 2011-09-01 | Applied Materials Inc | High efficiency low energy microwave ion/electron source |
US20110151590A1 (en) | 2009-08-05 | 2011-06-23 | Applied Materials, Inc. | Apparatus and method for low-k dielectric repair |
WO2011019566A2 (en) | 2009-08-11 | 2011-02-17 | Applied Materials, Inc. | Process kit for rf physical vapor deposition |
US8114761B2 (en) | 2009-11-30 | 2012-02-14 | Applied Materials, Inc. | Method for doping non-planar transistors |
US20110209995A1 (en) | 2010-03-01 | 2011-09-01 | Applied Materials, Inc. | Physical Vapor Deposition With A Variable Capacitive Tuner and Feedback Circuit |
US8404583B2 (en) | 2010-03-12 | 2013-03-26 | Applied Materials, Inc. | Conformality of oxide layers along sidewalls of deep vias |
US20120058281A1 (en) | 2010-03-12 | 2012-03-08 | Applied Materials, Inc. | Methods for forming low moisture dielectric films |
US20120015113A1 (en) | 2010-07-13 | 2012-01-19 | Applied Materials, Inc. | Methods for forming low stress dielectric films |
US8314033B2 (en) | 2010-09-24 | 2012-11-20 | Applied Materials, Inc. | Method of patterning a low-k dielectric film |
KR101893471B1 (ko) | 2011-02-15 | 2018-08-30 | 어플라이드 머티어리얼스, 인코포레이티드 | 멀티존 플라즈마 생성을 위한 방법 및 장치 |
WO2012148621A2 (en) | 2011-04-25 | 2012-11-01 | Applied Materials, Inc. | Apparatus and methods for microwave processing of semiconductor substrates |
US8940642B2 (en) | 2011-07-20 | 2015-01-27 | Applied Materials, Inc. | Method of multiple patterning of a low-K dielectric film |
US8980754B2 (en) | 2011-07-20 | 2015-03-17 | Applied Materials, Inc. | Method of removing a photoresist from a low-k dielectric film |
US8741775B2 (en) | 2011-07-20 | 2014-06-03 | Applied Materials, Inc. | Method of patterning a low-K dielectric film |
US20130248352A1 (en) | 2011-09-09 | 2013-09-26 | Applied Materials, Inc. | Multiple Frequency Sputtering for Enhancement in Deposition Rate and Growth Kinetics of Dielectric Materials |
US9218961B2 (en) | 2011-09-19 | 2015-12-22 | Applied Materials, Inc. | Methods of forming a metal containing layer on a substrate with high uniformity and good profile control |
US20130252440A1 (en) | 2011-09-26 | 2013-09-26 | Applied Materials, Inc. | Pretreatment and improved dielectric coverage |
US9666414B2 (en) | 2011-10-27 | 2017-05-30 | Applied Materials, Inc. | Process chamber for etching low k and other dielectric films |
US8871650B2 (en) | 2011-10-28 | 2014-10-28 | Applied Materials, Inc. | Post etch treatment (PET) of a low-K dielectric film |
WO2013063260A1 (en) | 2011-10-28 | 2013-05-02 | Applied Materials, Inc. | High temperature tungsten metallization process |
US9499901B2 (en) | 2012-01-27 | 2016-11-22 | Applied Materials, Inc. | High density TiN RF/DC PVD deposition with stress tuning |
US9611539B2 (en) | 2012-01-27 | 2017-04-04 | Applied Materials, Inc. | Crystalline orientation and overhang control in collision based RF plasmas |
US8802572B2 (en) | 2012-07-10 | 2014-08-12 | Applied Materials, Inc. | Method of patterning a low-k dielectric film |
DE102012219930A1 (de) | 2012-10-31 | 2014-04-30 | Federal-Mogul Burscheid Gmbh | Gleitelement, insbesondere Kolbenring, mit einer Beschichtung |
US9165783B2 (en) | 2012-11-01 | 2015-10-20 | Applied Materials, Inc. | Method of patterning a low-k dielectric film |
US20140131308A1 (en) | 2012-11-14 | 2014-05-15 | Roman Gouk | Pattern fortification for hdd bit patterned media pattern transfer |
US8932959B2 (en) | 2012-12-19 | 2015-01-13 | Applied Materials, Inc. | Method and system for etching plural layers on a workpiece including a lower layer containing an advanced memory material |
FR3000601B1 (fr) | 2012-12-28 | 2016-12-09 | Commissariat Energie Atomique | Procede de formation des espaceurs d'une grille d'un transistor |
FR3000602B1 (fr) | 2012-12-28 | 2016-06-24 | Commissariat A L Energie Atomique Et Aux Energies Alternatives | Procede de gravure d'un materiau dielectrique poreux |
US20140186544A1 (en) | 2013-01-02 | 2014-07-03 | Applied Materials, Inc. | Metal processing using high density plasma |
US9093389B2 (en) | 2013-01-16 | 2015-07-28 | Applied Materials, Inc. | Method of patterning a silicon nitride dielectric film |
US8987139B2 (en) | 2013-01-29 | 2015-03-24 | Applied Materials, Inc. | Method of patterning a low-k dielectric film |
US9006106B2 (en) | 2013-03-14 | 2015-04-14 | Applied Materials, Inc. | Method of removing a metal hardmask |
US20140263173A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Methods for improving etching resistance for an amorphous carbon film |
US8748322B1 (en) | 2013-04-16 | 2014-06-10 | Applied Materials, Inc. | Silicon oxide recess etch |
US20140342569A1 (en) | 2013-05-16 | 2014-11-20 | Applied Materials, Inc. | Near surface etch selectivity enhancement |
US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
US20140357083A1 (en) | 2013-05-31 | 2014-12-04 | Applied Materials, Inc. | Directed block copolymer self-assembly patterns for advanced photolithography applications |
US20150042017A1 (en) | 2013-08-06 | 2015-02-12 | Applied Materials, Inc. | Three-dimensional (3d) processing and printing with plasma sources |
US9543163B2 (en) | 2013-08-20 | 2017-01-10 | Applied Materials, Inc. | Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process |
US8980758B1 (en) | 2013-09-17 | 2015-03-17 | Applied Materials, Inc. | Methods for etching an etching stop layer utilizing a cyclical etching process |
US9530674B2 (en) | 2013-10-02 | 2016-12-27 | Applied Materials, Inc. | Method and system for three-dimensional (3D) structure fill |
US9576810B2 (en) | 2013-10-03 | 2017-02-21 | Applied Materials, Inc. | Process for etching metal using a combination of plasma and solid state sources |
US9379021B2 (en) | 2013-10-03 | 2016-06-28 | Applied Materials, Inc. | Method to reduce K value of dielectric layer for advanced FinFET formation |
US9698015B2 (en) | 2013-10-21 | 2017-07-04 | Applied Materials, Inc. | Method for patterning a semiconductor substrate |
US9214377B2 (en) | 2013-10-31 | 2015-12-15 | Applied Materials, Inc. | Methods for silicon recess structures in a substrate by utilizing a doping layer |
US9305796B2 (en) | 2013-11-05 | 2016-04-05 | Applied Materials, Inc. | Methods for etching silicon using hydrogen radicals in a hot wire chemical vapor deposition chamber |
US9514953B2 (en) | 2013-11-20 | 2016-12-06 | Applied Materials, Inc. | Methods for barrier layer removal |
US9257330B2 (en) | 2013-11-27 | 2016-02-09 | Applied Materials, Inc. | Ultra-thin structure to protect copper and method of preparation |
US9287095B2 (en) | 2013-12-17 | 2016-03-15 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US20150170943A1 (en) | 2013-12-17 | 2015-06-18 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US20150170879A1 (en) | 2013-12-17 | 2015-06-18 | Applied Materials, Inc. | Semiconductor system assemblies and methods of operation |
US9368448B2 (en) | 2013-12-20 | 2016-06-14 | Applied Materials, Inc. | Metal-containing films as dielectric capping barrier for advanced interconnects |
KR102311036B1 (ko) | 2014-01-08 | 2021-10-07 | 어플라이드 머티어리얼스, 인코포레이티드 | 비정질 탄소 막들 내로의 이온 주입에 의한 고 에칭 선택성 하드마스크 재료의 개발 |
US20150200042A1 (en) | 2014-01-10 | 2015-07-16 | Applied Materials, Inc. | Recessing ultra-low k dielectric using remote plasma source |
US9299577B2 (en) | 2014-01-24 | 2016-03-29 | Applied Materials, Inc. | Methods for etching a dielectric barrier layer in a dual damascene structure |
US20150214066A1 (en) | 2014-01-27 | 2015-07-30 | Applied Materials, Inc. | Method for material removal in dry etch reactor |
US9385028B2 (en) | 2014-02-03 | 2016-07-05 | Applied Materials, Inc. | Air gap process |
US9508561B2 (en) | 2014-03-11 | 2016-11-29 | Applied Materials, Inc. | Methods for forming interconnection structures in an integrated cluster system for semicondcutor applications |
US9368370B2 (en) | 2014-03-14 | 2016-06-14 | Applied Materials, Inc. | Temperature ramping using gas distribution plate heat |
US9190290B2 (en) | 2014-03-31 | 2015-11-17 | Applied Materials, Inc. | Halogen-free gas-phase silicon etch |
US9269590B2 (en) | 2014-04-07 | 2016-02-23 | Applied Materials, Inc. | Spacer formation |
US9382625B2 (en) | 2014-05-01 | 2016-07-05 | Applied Materials, Inc. | Remote plasma source based cyclic CVD process for nanocrystalline diamond deposition |
US9847289B2 (en) | 2014-05-30 | 2017-12-19 | Applied Materials, Inc. | Protective via cap for improved interconnect performance |
US10039157B2 (en) | 2014-06-02 | 2018-07-31 | Applied Materials, Inc. | Workpiece processing chamber having a rotary microwave plasma source |
US10269541B2 (en) | 2014-06-02 | 2019-04-23 | Applied Materials, Inc. | Workpiece processing chamber having a thermal controlled microwave window |
US9548201B2 (en) | 2014-06-20 | 2017-01-17 | Applied Materials, Inc. | Self-aligned multiple spacer patterning schemes for advanced nanometer technology |
KR102422284B1 (ko) | 2014-07-03 | 2022-07-15 | 어플라이드 머티어리얼스, 인코포레이티드 | 선택적인 증착을 위한 방법 및 장치 |
US9406522B2 (en) | 2014-07-24 | 2016-08-02 | Applied Materials, Inc. | Single platform, multiple cycle spacer deposition and etch |
US9540736B2 (en) | 2014-07-29 | 2017-01-10 | Applied Materials, Inc. | Methods of etching films with reduced surface roughness |
US9528185B2 (en) | 2014-08-22 | 2016-12-27 | Applied Materials, Inc. | Plasma uniformity control by arrays of unit cell plasmas |
TWI618225B (zh) | 2014-09-03 | 2018-03-11 | 應用材料股份有限公司 | 用於三維nand硬遮罩應用的奈米結晶鑽石碳膜 |
US10332731B2 (en) * | 2014-10-10 | 2019-06-25 | The Board Of Trustees Of The University Of Illinois | Method of and magnet assembly for high power pulsed magnetron sputtering |
KR102360025B1 (ko) | 2014-10-16 | 2022-02-08 | 삼성전자주식회사 | 비정질 탄소원자층의 형성방법 및 비정질 탄소원자층을 포함하는 전자소자 |
US9595467B2 (en) | 2014-11-14 | 2017-03-14 | Applied Materials, Inc. | Air gap formation in interconnection structure by implantation process |
US9640385B2 (en) | 2015-02-16 | 2017-05-02 | Applied Materials, Inc. | Gate electrode material residual removal process |
US9478433B1 (en) | 2015-03-30 | 2016-10-25 | Applied Materials, Inc. | Cyclic spacer etching process with improved profile control |
US9484202B1 (en) | 2015-06-03 | 2016-11-01 | Applied Materials, Inc. | Apparatus and methods for spacer deposition and selective removal in an advanced patterning process |
US9460959B1 (en) | 2015-10-02 | 2016-10-04 | Applied Materials, Inc. | Methods for pre-cleaning conductive interconnect structures |
US9716005B1 (en) | 2016-03-18 | 2017-07-25 | Applied Materials, Inc. | Plasma poisoning to enable selective deposition |
-
2017
- 2017-05-19 US US15/600,247 patent/US10858727B2/en active Active
- 2017-07-26 WO PCT/US2017/043930 patent/WO2018034811A1/en active Application Filing
- 2017-07-26 CN CN201780050740.8A patent/CN109642312B/zh not_active Expired - Fee Related
- 2017-07-26 JP JP2019509517A patent/JP6795686B2/ja active Active
- 2017-07-26 KR KR1020197007898A patent/KR20190032636A/ko active IP Right Grant
- 2017-08-18 TW TW106128133A patent/TW201817902A/zh unknown
Patent Citations (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2007530787A (ja) * | 2004-03-24 | 2007-11-01 | アプライド マテリアルズ インコーポレイテッド | 選択可能なデュアルポジション型マグネトロン |
JP2011214150A (ja) * | 2010-03-19 | 2011-10-27 | Nanotec Corp | 炭素膜の形成方法および装置 |
JP2013167353A (ja) * | 2012-02-15 | 2013-08-29 | Hauzer Techno Coating Bv | ベアリングコンポーネント |
JP2013253291A (ja) * | 2012-06-07 | 2013-12-19 | Toyo Advanced Technologies Co Ltd | 摺動部材及びその製造方法 |
WO2016028640A1 (en) * | 2014-08-22 | 2016-02-25 | Applied Materials, Inc. | A high power impulse magnetron sputtering process to achieve a high density high sp3 containing layer |
JP2017534750A (ja) * | 2014-08-22 | 2017-11-24 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 高密度高Sp3含有層を実現するための高電力インパルスマグネトロンスパッタリング処理 |
Also Published As
Publication number | Publication date |
---|---|
TW201817902A (zh) | 2018-05-16 |
CN109642312A (zh) | 2019-04-16 |
US20180051368A1 (en) | 2018-02-22 |
JP6795686B2 (ja) | 2020-12-02 |
US10858727B2 (en) | 2020-12-08 |
WO2018034811A1 (en) | 2018-02-22 |
CN109642312B (zh) | 2021-01-01 |
KR20190032636A (ko) | 2019-03-27 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP6795686B2 (ja) | 高密度、低応力のアモルファスカーボン膜、ならびにその堆積のための方法および装置 | |
JP6896869B2 (ja) | 反応性ガス及びバイアス電力によって、pvdカーボンの膜品質を改善するための方法 | |
US9659756B2 (en) | Plasma etching apparatus and plasma cleaning method | |
JP5597456B2 (ja) | 誘電体の厚さ設定方法、及び電極に設けられた誘電体を備える基板処理装置 | |
TWI616552B (zh) | 製程工具防護板及具有防護板之物理氣相沉積室 | |
US9082720B2 (en) | Semiconductor device manufacturing method | |
JP2016157735A (ja) | プラズマ処理方法及びプラズマ処理装置 | |
KR102569911B1 (ko) | 포커스 링 및 기판 처리 장치 | |
TW200904260A (en) | Plasma species and uniformity control through pulsed VHF operation | |
JP2017534750A (ja) | 高密度高Sp3含有層を実現するための高電力インパルスマグネトロンスパッタリング処理 | |
US9460896B2 (en) | Plasma processing method and plasma processing apparatus | |
KR20110055402A (ko) | 기판 처리 장치 및 그 클리닝 방법 및 프로그램을 기록한 기록매체 | |
US20200048760A1 (en) | High power impulse magnetron sputtering physical vapor deposition of tungsten films having improved bottom coverage | |
JP2011179119A (ja) | 熱拡散器を用いた物理蒸着装置及び方法 | |
JP2015012249A (ja) | プラズマエッチング方法及びプラズマエッチング装置 | |
TWI766904B (zh) | 用於在基板處理中減少顆粒的處理套件屏蔽、物理氣相沉積腔室及方法 | |
CN111433884A (zh) | 带纹理的处理腔室部件及带纹理的处理腔室部件的制造方法 | |
JP4566373B2 (ja) | 酸化膜エッチング方法 | |
JP2004162138A (ja) | プラズマ支援スパッタ成膜装置 | |
TW201543532A (zh) | 用於基板之電漿處理之方法及裝置 | |
TWI435386B (zh) | 被膜表面處理方法 | |
TW200845287A (en) | Electrostatic chuck and plasma processing equipment with electrostatic chuck | |
JP3729769B2 (ja) | プラズマ処理装置 | |
JP7405776B2 (ja) | 保護コーティングを有するプロセスチャンバプロセスキット | |
JP2009275281A (ja) | スパッタリング方法及び装置 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20190418 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20200317 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20200318 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20200617 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20201013 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20201112 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 6795686 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 |