JP6896869B2 - 反応性ガス及びバイアス電力によって、pvdカーボンの膜品質を改善するための方法 - Google Patents

反応性ガス及びバイアス電力によって、pvdカーボンの膜品質を改善するための方法 Download PDF

Info

Publication number
JP6896869B2
JP6896869B2 JP2019539920A JP2019539920A JP6896869B2 JP 6896869 B2 JP6896869 B2 JP 6896869B2 JP 2019539920 A JP2019539920 A JP 2019539920A JP 2019539920 A JP2019539920 A JP 2019539920A JP 6896869 B2 JP6896869 B2 JP 6896869B2
Authority
JP
Japan
Prior art keywords
gas
substrate
power
amorphous carbon
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2019539920A
Other languages
English (en)
Other versions
JP2020506289A (ja
Inventor
バルガフ シトラ,
バルガフ シトラ,
チンチン リウ,
チンチン リウ,
チョン チャン ファ,
チョン チャン ファ,
チェンツァウ イン,
チェンツァウ イン,
シュリニヴァス ディ. ネマニ,
シュリニヴァス ディ. ネマニ,
エリー ワイ. イー,
エリー ワイ. イー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2020506289A publication Critical patent/JP2020506289A/ja
Application granted granted Critical
Publication of JP6896869B2 publication Critical patent/JP6896869B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02592Microstructure amorphous
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/0021Reactive sputtering or evaporation
    • C23C14/0036Reactive sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0605Carbon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3435Applying energy to the substrate during sputtering
    • C23C14/345Applying energy to the substrate during sputtering using substrate bias
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/3485Sputtering using pulsed power to the target
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5873Removal of material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3402Gas-filled discharge tubes operating with cathodic sputtering using supplementary magnetic fields
    • H01J37/3405Magnetron sputtering
    • H01J37/3408Planar magnetron sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/34Gas-filled discharge tubes operating with cathodic sputtering
    • H01J37/3464Operating strategies
    • H01J37/3467Pulsed operation, e.g. HIPIMS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02266Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by physical ablation of a target, e.g. sputtering, reactive sputtering, physical vapour deposition or pulsed laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02527Carbon, e.g. diamond-like carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Optics & Photonics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)
  • General Chemical & Material Sciences (AREA)

Description

[0001] 本開示の実施形態は概して半導体製造で使用される方法に関し、より具体的には、電子デバイス製造プロセスで基板上にアモルファスカーボン層を堆積するために使用される方法に関する。
関連技術の説明
[0002] カーボンハードマスクはよく知られており、半導体製造の記録プロセス(POR)で一般的に使用されている。しかしながら、DRAM及びNANDが10nm未満のレベルまで縮小し続け、深いコンタクト孔やトレンチなど、高アスペクト比エッチングのデバイス特徴が要求されるようになると、従来の方法を用いて堆積されたカーボンハードマスクによって生ずるプロセスの問題は高まると予想されている。目詰まり、孔形状の歪み、及びパターンの変形、最大限界寸法の膨張、ラインの屈曲、形状の反りを含む、高アスペクト比エッチングの問題は、一般的に従来の方法で堆積されたカーボンハードマスクの望ましくない材料特性の結果である。例えば、低密度ハードマスクを用いるエッチング、及び/又は熱伝導率が低いハードマスク材料を用いるエッチングは、高密度ハードマスクを用いるエッチング及び/又は熱伝導率が高いハードマスク材料を用いるエッチングと比較した場合、高アスペクト比の特徴で変形が大きくなることが知られている。同様に、エッチング選択性が低い、及び/又は、膜応力が高いハードマスク材料を用いるエッチングは、エッチング選択性が高い、及び/又は、膜応力が低いハードマスク材料を用いるエッチングと比較した場合、スリットパターンの変形及び/又はラインの屈曲が大きくなることが知られている。したがって、従来のカーボンハードマスク堆積法によって現在提供されているものよりも、より高い密度、より高いエッチング選択性、より低い応力及びより高い熱伝導率を有するエッチングハードマスクを有することが望ましい。
[0003] 物理的気相堆積(PVD)方法を用いて堆積されるアモルファスカーボン層は、化学的気相堆積(CVD)方法を用いて堆積されるカーボン膜と比較して、望ましい高密度と高いエッチング耐性を有することが知られている。アスペクト比が非常に高いため、下部の基板材料のエッチング速度と比較して、低いエッチング速度のハードマスク材料が要求される3D NANDエッチング応用では、高いエッチング耐性により、PVD堆積アモルファスカーボン層は、良好なハードマスク材料となる。しかしながら、従来のPVD堆積アモルファスカーボン材料は一般的に、CVD堆積アモルファスカーボン層と比較した場合、望ましくないほど粗度が高く、形態が悪く、屈折率が低く、吸収係数(光学的K)が高く、また、膜応力が増大する。粗度は、局所限界寸法(CD)の一様性、すなわち、1cm未満の小さな局所領域上のエッチングされた特徴の寸法、及び、エッチングされた特徴の形状での一様性に影響を及ぼす重要な因子である。高い屈折率及び/又は高い吸収係数(光学的K)及びその結果として低い透明度を有するハードマスク材料は、リソグラフィによってパターニングされるときには、付加的な位置合わせステップが要求され、望ましくないことがある。形態的に劣る(例えば、望ましくない柱状の形態の)ハードマスク材料を用いるエッチングは、高アスペクト比の特徴では変形する可能性が高い。高い応力のハードマスクと他の材料との一体化は困難であるため、及び/又は、高い応力のハードマスク材料の一体化はその後のフォトリソグラフィプロセスに悪影響を及ぼすため、高い応力はハードマスク材料層では望ましくない。
[0004] したがって、当該技術分野で必要とされているのは、アモルファスカーボンハードマスク層の堆積方法の改良である。
[0005] 本開示の実施形態は概して、高電力インパルスマグネトロンスパッタリング(HiPIMS)処理を用いて、基板上に以前形成された層の上を含め、基板上にアモルファスカーボン層を堆積するための方法を説明している。
[0006] 一実施形態では、アモルファスカーボン層を堆積する方法が提示される。方法は、処理チャンバの処理空間内に配設された基板支持体上に基板を配置すること、並びに、処理空間内へ処理ガスを流し込むことを含む。本書では、処理ガスは、不活性ガス、並びに、水素、窒素、又はこれらの組み合わせを含む反応性ガスを含む。方法は更に、処理空間内に配設されたターゲットにパルスDC電力を供給することと、処理ガスのプラズマを形成することと、基板支持体に配設されたバイアス電極にバイアス電力を供給することと、基板上にアモルファスカーボン層を堆積することとを含む。
[0007] 別の実装形態では、アモルファスカーボン層を堆積する方法が提供される。この方法は、処理チャンバの処理空間に配設された基板支持体上に基板を配置することを含む。本書では、処理チャンバは、処理空間を画定する一又は複数の側壁、チャンバ底部、及びリッドアセンブリを備える。リッドアセンブリは、金属バッキング板に結合されたターゲットと、金属バッキング板に連結されたマグネトロンハウジングとを備え、金属バッキング板とマグネトロンハウジングはハウジング空間を画定し、また、リッドアセンブリは、ハウジング空間に配設されたマグネトロンアセンブリと、回転可能なシャフトによってマグネトロンアセンブリに連結されたモーターとを備える。方法は更に、処理空間へ処理ガスを流し込むことを含み、ここで処理ガスは、Ar、He、Ne、Kr、Xe、又はこれらの組み合わせの不活性ガス、並びに、水素源ガス、窒素源ガス、又はこれらの組み合わせを含む反応性ガスを含む。方法は更に、約10rpmから約200rpmの間で回転可能なシャフトを通して配設された軸の周りに、マグネトロンアセンブリを動かすこと、並びに、ターゲットにパルスDC電力を供給することを含む。本書では、パルスDC電力は約1MVから約8MVの間の電圧を有し、電圧パルス中にカーボンターゲットに提供される平均電力は、約1W/cmから約20W/cmの間である。方法は更に、処理ガスのプラズマを形成すること、基板支持体に配設されたバイアス電極に約0.0283W/cmから約1.45W/cmの間のバイアス電力を供給すること、基板を約200°C未満の温度に維持すること、並びに、基板上にアモルファスカーボン層を堆積することを含む。
[0008] 一実施形態では、アモルファスカーボンハードマスクを形成する方法が提示される。本方法は、処理チャンバの処理空間内に配設された基板支持体上に基板を配置することを含む。本書では、処理チャンバは、処理空間を画定する一又は複数の側壁、チャンバ底部、及びリッドアセンブリを備える。リッドアセンブリは、金属バッキング板に結合されたターゲット、並びに、金属バッキング板に連結されたマグネトロンハウジングを備え、金属バッキング板とマグネトロンハウジングはハウジング空間を画定する。リッドアセンブリは更に、ハウジング空間に配設されたマグネトロンアセンブリ、並びに、回転シャフトによってマグネトロンアセンブリに連結されたモーターを含む。本方法は更に、処理ガスを処理空間内へ流し込むことを含む。本書では、処理ガスは、Ar、He、Ne、Kr、Xe、又はこれらの組み合わせを含む不活性ガス、並びに、水素源ガス、窒素源ガス、又はこれらの組み合わせを含む反応性ガスを含む。本方法は更に、約10rpmから約200rpmの間で回転可能なシャフトによって、マグネトロンアセンブリを配設された軸の周りで回転運動させることと、ターゲットにパルスDC電力を供給することとを含み、パルスDC電力は約1MVから約8MVの間の電圧を有し、電圧パルス中にターゲットに供給される平均DC電圧は約1W/cm2から約20W/cm2の間にあり、また、本方法は処理ガスのプラズマを形成することを含む。本方法は更に、基板支持体に配設されたバイアス電極にバイアス電力を提供することを含み、本書ではバイアス電力は約0.0283W/cmから約1.45W/cmの間になっている。本方法は更に、基板を約200°C未満の温度に維持することと、基板上にアモルファスカーボン層を堆積することと、その後アモルファスカーボン層の上にパターニング層を堆積することとを含む。本書では、パターニング層は酸化ケイ素、窒化ケイ素、又はこれらの組み合わせを含む。本方法は更に、パターニング層を通る複数の開口部を形成することと、アモルファスカーボン層を通り複数の開口部を形成することとを含む。
[0009] 別の実施形態では、アモルファスカーボン層の堆積方法を開始するコンピュータ可読媒体が提供される。本書では、アモルファスカーボン層の堆積方法には、処理チャンバ内の処理空間に配設された基板支持体上に基板を配置することと、処理空間に処理ガスを流し込むことと、処理空間に配設されたカーボンターゲットにパルスDC電力を供給することと、処理ガスのプラズマを形成することとが含まれる。アモルファスカーボン層の堆積方法は更に、基板支持体に配設されたバイアス電極にバイアス電力を供給することと、基板上にアモルファスカーボン層を堆積することとを含む。幾つかの実施形態では、処理チャンバは、処理空間を画定する一又は複数の側壁、チャンバ底部、及びリッドアセンブリを備える。幾つかの実施形態では、リッドアセンブリは、金属バッキング板に結合された炭素ターゲットと、金属バッキング板に連結されたマグネトロンハウジングであって、金属バッキング板と前記マグネトロンハウジングがハウジング空間を画定するマグネトロンハウジングと、ハウジング空間に配設されたマグネトロンアセンブリと、回転可能なシャフトによってマグネトロンアセンブリに連結されたモーターとを備える。一般的に、処理ガスは、不活性ガス、並びに、水素、窒素、又はこれらの組み合わせを含む反応性ガスを含む。幾つかの実施形態では、不活性ガスはAr、He、Ne、Kr、Xe、又はこれらの組み合わせを含む。幾つかの実施形態では、反応性ガスは、H、CH、C、C、C10、C、C、C、及びC10、又はこれらの組み合わせからなる群から選択される。他の実施形態では、反応性ガスはN、NH、又はこれらの組み合わせである。幾つかの実施形態では、本方法は更に、約10rpmから約200rpmの間で回転可能なシャフトによって、マグネトロンアセンブリを配設された軸の周りに動かすことを含む。幾つかの実施形態では、パルスDC電力は約1MVから約8MVの間の電圧パルスを有し、パルス作動時間中にターゲットに供給される平均DC電力は、約1W/cmから約20W/cmの間である。幾つかの実施形態では、バイアス電極に供給されるバイアス電力は約0.0283W/cmから約1.45W/cmの間である。幾つかの実施形態では、方法は更に、基板を約200°C未満に維持することを含む。
[0010] 上述の本開示の特徴を詳細に理解しうるように、上記で簡単に要約された本開示のより具体的な説明が、実施形態を参照することによって得られ、一部の実施形態は、付随する図面に例示されている。しかし、添付図面は例示的な実施形態を示しているにすぎず、従って、本開示の範囲を限定すると見なすべきではなく、その他の等しく有効な実施形態も許容されうることに留意されたい。
一実施形態による、本書に記載の方法の実施に用いられる例示的な処理チャンバの概略断面図である。 図1Aで説明した処理チャンバの処理空間に配設されたターゲットに供給されるパルスDC電圧などのパルスDC電圧を示す。 一実施形態による、基板上にアモルファスカーボン層を堆積する方法を示すフロー図である。 図2で説明した方法を用いて堆積されたアモルファスカーボンハードマスクの形成を示す。 図2で説明した方法を用いて堆積されたアモルファスカーボンハードマスクの形成を示す。 図2で説明した方法を用いて堆積されたアモルファスカーボンハードマスクの形成を示す。 図2で説明した方法を用いて堆積されたアモルファスカーボンハードマスクの形成を示す。 図2で説明した方法を用いて堆積されたアモルファスカーボンハードマスクの形成を示す。 一実施形態により、純粋なKr HiPIMSプロセス(反応性ガスなし)を用いて堆積され、部分的にエッチングされたアモルファスカーボンハードマスクの走査電子顕微鏡(SEM)画像で、アモルファスカーボン層が実質的に柱状の形態(columnar morphology)にあることを示している。 本書に記載の一実施形態により堆積された、部分的にエッチングされたアモルファスカーボンハードマスクの走査電子顕微鏡(SEM)画像で、アモルファスカーボン層が実質的に柱状の自由形態(columnar free morphology)にあることを示している。
[0017] 理解を容易にするために、可能な場合には、図に共通する同一の要素を指し示すのに同一の参照番号を使用した。一実施形態の要素及び特徴は、更なる記述がなくとも、他の実施形態に有益に組み込まれうると考えられている。
[0018] 本開示の実施形態は概して、高電力インパルスマグネトロンスパッタリング(HiPIMS)処理を用いて、一方で、堆積処理中に基板にバイアスを与え、不活性ガスに加えて窒素源ガス及び/又は水素源ガスを処理チャンバに流し込むことで、基板上に以前形成された層の上を含め、基板上にアモルファスカーボン層を堆積するための方法を説明している。
[0019] 一般的に、従来の物理的気相堆積(PVD)プロセスを用いて堆積されたアモルファスカーボン層は、化学的気相堆積(CVD)で堆積されたアモルファスカーボン層と比較したときに、高い密度とエッチング耐性を有し、これらは共に、高アスペクト比の特徴の形成時にハードマスクとして使用されるときには、どちらも望ましい特性である。しかし、従来のPVDを用いて堆積されたアモルファスカーボン層は一般的に、CVD堆積されたアモルファスカーボン層と比較したとき、粗度が大きく、より柱状の形態を有し、屈折率(n)が大きく、吸収係数(光学的K)が高く、その結果として透明度が低く、また、内部応力が大きいため、ハードマスクを通るエッチングによって高アスペクト比の特徴を形成する際に使用されるハードマスクでは望ましくない特性である。
[0020] 基板にバイアスを与えることで、粗度と光学的K(透明度)は望むように改善されるが、膜応力を高める。水素又は水素化炭素ガスなどの水素源ガス、或いは、N又はNHなどの窒素源ガスを不活性ガスに添加することは、Krなどの不活性ガスのみを使用する方法と比較して、結果として得られる膜の粗度を低下させ、膜応力を軽減するするため望ましい。更に、水素源ガスと不活性ガスの組み合わせ、例えば、HとKrの組み合わせは、不活性ガスのみを使用する、或いは水素源ガスと不活性ガスを使用する方法と比較して、得られる膜の光学的Kの特性を改善する。堆積処理中の水素源ガス又は窒素源ガスのバイアスと添加の組み合わせは、従来のPVD方法と比較して、アモルファスカーボン層の密度を高め、エッチング耐性を高め、膜の粗度を低減する結果となる。実施形態は、以下の図を参照してより明確に説明される。
[0021] 図1は例示的な処理チャンバの概略的な断面図で、本書に記載の方法を実施するため、本書では、高電力インパルスマグネトロンスパッタリング(HiPIMS)処理チャンバ100が使用される。処理チャンバ100は、処理空間118を画定する、一又は複数の側壁110、チャンバ底部146、及びリッドアセンブリ104からなるチャンバ本体108を含む。処理空間は、内部に処理ガスを供給するガス源128に流体連結されている。金属バッキング板121に結合されているターゲット120は、パルスDC電源に連結されているリッドアセンブリ104に配設されており、本書では、パルスがターゲット120に与えられたとき、第1の電源132は、容量性結合によって処理空間118内の処理ガスからプラズマを引き起こす。処理空間118を準大気圧に維持し、そこから処理ガス及びその他のガスを排気するため、処理空間118は、真空排出口150を経由して、一又は複数の専用真空ポンプ152などの真空源に流体連結されている。
[0022] 処理チャンバ100は更に、チャンバ底部146を通って延在する支持体シャフト140に連結される処理空間118に配設された基板支持体138を含む。ベローズ142は支持体シャフト140に外接し、基板支持体138及びアクチュエータ144に連結され、その間に柔軟な密閉が提供され、それによって処理空間118の真空の完全性が維持される。
支持体シャフト140はまた、支持体シャフト140、及びその上に配設された基板支持体138を上げ下げするように構成されたアクチュエータ144に連結されており、基板190の処理及び、処理チャンバ100との間での基板190の搬送を容易にする。一般的に、基板支持体138が上の位置すなわち処理位置にあるとき、基板190は、約20mmから約250mmまでの距離、例えば、約40mmから60mmまでの距離だけ、ターゲット120の表面から離間されている。
[0023] 基板190は、側壁110に沿って形成された開口部130を経由して、処理チャンバ100との間で搬送されるが、従来、この開口部130は堆積処理中にはドア131又はバルブによって密閉されている。幾つかの実施形態では、処理チャンバ100は、移送チャンバ及び/または基板処理システムの他のチャンバに連結されている。一般的に、複数のリフトピン(図示せず)は、基板支持体138との間での基板190の搬送を容易にするため、基板支持体138を通って移動可能に配設される。基板支持体138が下方位置にあるとき、複数のリフトピンは基板支持体138の上方に延在し、これによって、ロボットハンドラによるアクセスのため、基板190を基板支持体138から離間する。基板支持体138が上方の処理位置にあるとき、複数のリフトピンの上部は基板支持体138の表面と同一平面上、或いは下方に配置されており、処理のため、基板190は基板支持体138に直接接して停止する。リフトピンの上部と基板支持体138の基板受容面の相対位置は、基板支持体138が処理チャンバ100内で下降するにつれて、その下端と固定式又は可動式ピンプレート(図示せず)との接触、或いは処理チャンバ100のチャンバ底部146との接触によって、変えることができる。
[0024] 処理中、基板190は、基板支持体138の誘電体材料に埋め込まれたチャッキング電極180に供給される電力により、基板と基板支持体との間に生成される静電引力によって基板支持体138の表面に固定される。チャッキング電極180は、第2の電源181に電気的に連結される。本書では、第2の電源181は、約−5000Vから約5000Vの間の電圧を供給する静的DC電源である。本書の基板支持体138は更に、その誘電体材料に配設されたバイアス電極186を含み、それらの間に配設された基板支持体138の誘電体材料によって、チャッキング電極180から電気絶縁されている。バイアス電極186は第3の電源185に電気的に連結されており、本書では第3の電源は、パルス又は連続波(CW)モードで動作するRF電源である。パルスモードでは、バイアス電極に供給されるRFバイアス電力は一般的に、約10μsから約200μsの間、約10μsから約100μsの間、約10μsから約50μsの間、例えば、約10μsから約25μsの間のパルス幅(パルス持続時間)を有する。本書では、パルスRFバイアス電力は、約10%から約90%の間、例えば、約30%から約70%の間のデューティサイクルの時間を有する。一般的に、パルスモード又はCWモードでバイアス電極に供給される平均RFバイアス電力は、直径300mmの基板に対して構成された基板支持体については、約20Wから約1000Wの間、約20Wから約500Wの間で、例えば、約150Wになる。基板190の表面で1cm当たりのRFバイアス電力が約0.028W/cmから約1.415W/cmの間、約0.028W/cmから約0.71W/cmの間、例えば、約0.21W/cmである、異なるサイズの基板用の基板支持体には、適切なスケーリングが使用されうる。
[0025] 幾つかの実施形態では、基板支持体138は更に、堆積処理中に、基板支持体138、及び基板支持体の表面上に配設される基板190の温度を調整するために使用される冷却ベース(図示せず)に連結される。一般的に、冷却ベースは、冷媒源又は温度制御流体源などの冷却源(図示せず)と流体連結されている、内部に配設された一又は複数の流体導管を含む。
[0026] 本書のリッドアセンブリ104は、マグネトロンハウジング170と、ハウジング空間166を画定する金属バッキング板121に結合されたターゲット120と、ハウジング空間166に配設されたマグネトロンアセンブリ165と、ターゲット120を電気絶縁する接地フィールドアセンブリ126と、チャンバ本体108の側壁110から結合された金属バッキング板121とを含む。本書のマグネトロンアセンブリ165は、ターゲット120を通って処理空間118へ延在する磁場を供給するように配置された、ネオジウム鉄ボロン磁石など、複数の強力な磁石169を含む。磁場は磁力線に沿って電子を捕捉し、電子とガス原子の衝突を可能にすることによって、プラズマイオン密度を高める。ターゲット上の負のバイアスは、ターゲットに向かって処理プラズマのイオンを加速し、ここでイオンはターゲットに当たり、基板190の表面上に堆積可能な炭素原子をターゲット120から叩き出して、本書に記載のアモルファスカーボン層を形成する。一実施形態では、プラズマの磁場の強度は、約200ガウスから約1000ガウスの間、例えば、約200ガウスから約500ガウスの間、或いは約500ガウスから約1000ガウスの間、或いは約200ガウスを超える、約500ガウスを超える、又は約800ガウスを超える値になる。
[0027] 幾つかの実施形態では、リッドアセンブリ104は更に、堆積処理中に、マグネトロンアセンブリ165を軸124の周りに回転運動させる回転可能なシャフト167によって、マグネトロンアセンブリ165に連結されたモーター175を含む。堆積処理中のマグネトロンアセンブリ165の回転により、望ましいことに高イオン密度領域はターゲット表面を横切って移動し、ターゲット120の面からカーボン材料をより一様に腐食することが可能になる。これは堆積したアモルファスカーボン層の一様性を改善し、望ましくはターゲット120の寿命を延ばす。一般的に、マグネトロンアセンブリ165は、約10rpmから約200rpmの間、例えば、約40rpmから約150rpmの間で軸124の周りを回転する。他の実施形態では、マグネトロンアセンブリ165は堆積処理中に動かない。幾つかの実施形態では、水又は冷媒などの冷却液は、磁石及び隣接するターゲットを冷却するため、内部に流体連通された冷却液源168によって、ハウジング空間166に供給される。
[0028] 接地シールドアセンブリ126は、接地フレーム106とそこに連結された接地シールド112を含み、接地フレーム106は接地シールド112をターゲット120から電気的に絶縁し、一方で、その側壁110を通ってチャンバ本体108まで接地経路を提供する。幾つかの実施形態では、接地フィールドアセンブリ126は更に、他のチャンバシールド部材(ターゲットシールド部材など)と、暗空間(dark space)シールドと、暗空間シールドフレームと、これらの組み合わせ(図示せず)とを含む。本書では、接地シールド112は、処理中に生成されたプラズマを処理空間の上部処理領域154内に閉じ込めるのに役立ち、上部処理領域154は、基板支持体138及びその上に配設された基板190と、ターゲット120の表面との間に位置する。
[0029] マグネトロンハウジング170及びターゲット120は操作可能に第1の電源132に連結され、これにより高電圧パルスDC電力をターゲット120に供給する。
[0030] 本書では、処理チャンバ100は更に、そこに連結されたコントローラ148を備える。本書のコントローラ148は、中央処理装置(CPU)160、メモリ158、及び支援回路162を含む。コントローラ148は、処理手順を制御し、ガス源128から処理チャンバ100へのガスの流れを調整し、基板支持体138の上に配設された基板190にバイアスを与え、その上に配設された基板支持体138及び/又は基板を所望の温度に加熱/冷却又は維持し、第1の電源132によってターゲット120及びマグネトロンハウジング170に供給される高電圧パルスDC電力を制御することによって、また、軸124の周りでのマグネトロンアセンブリ165の速度を制御することによって、ターゲット120のイオン衝突を制御するために使用される。本書では、CPU160は、処理チャンバと関連するサブプロセッサを制御する工業用の設定で使用するように構成された、汎用コンピュータプロセッサである。本書のメモリ158は、ランダムアクセスメモリ、読み出し専用メモリ、フロッピー又はハードディスクドライブ、或いはローカル又はリモートの他の適切な形態のデジタルストレージを含む。本書では、支援回路162は、従来、CPU160に連結されており、キャッシュ、クロック回路、入出力サブシステム、電源など、並びにこれらの組み合わせを備える。幾つかの実施形態では、コントローラ148は、一又は複数のコンピュータ可読媒体(図示されず)を更に含む。
[0031] 本書のコンピュータ可読媒体は、計算装置によって検索可能な情報を格納することができる、コントローラ148の近く又は離れた場所に位置する任意の装置を含む。本開示の実施形態で使用可能なコンピュータ可読媒体の例には、ソリッドステートメモリ、フロッピーディスク、内部又は外部ハードドライブ、及び光学メモリ(CD、DVD、BR−Dなど)が含まれる。一実施形態では、コンピュータ可読媒体はメモリ158を備える。更に、任意の接続は正しくはコンピュータ可読媒体と呼ばれている。例えば、命令がウェブサイト、サーバー、又は他のリモートソースから、同軸ケーブル、光ファイバーケーブル、ツイストペア、デジタル加入者回線(DSL)、又は赤外線(IR)、無線、及びマイクロ波などの無線技術を使用して送信されるときには、同軸ケーブル、光ファイバーケーブル、ツイストペア、DSL、又は赤外線(IR)、無線、及びマイクロ波などの無線技術は、媒体の定義に含まれる。ソフトウェアルーチンは、CPU160によって実行されると、本開示の実施形態に従って処理が実行されるように、CPUを、処理チャンバ100の操作を制御する特定用途のコンピュータ、本書ではコントローラ148に変換する。幾つかの実施形態では、ソフトウェアルーチンは、処理チャンバ100から離れて配置された第2のコントローラ(図示されず)によって記憶及び/又は実行される。他の実施形態では、本書に記載の処理又はその一部は、特定用途向け集積回路(ASIC)又は他の種類のハードウェア実装によって実行される。幾つかの他の実施形態では、本書に記載の処理は、ソフトウェアルーチン、ASIC、及び/又は他の種類のハードウェア実装の組み合わせによって実行される。
[0032] 図1Bは、図1Aに示した第1の電源132によってターゲット120に供給される高電圧パルスDC電力などの、循環(cyclic)パルスDC電力184を示す。本書では、循環パルスDC電力184は、約−4メガボルト(MV)から約0Vの間の第1の電圧V1から、約1MVから約8MVの間の第2の電圧V2まで循環する。循環パルスDC電力184はパルス持続時間を有し、本書ではパルス作動時間tonは、約10μsから約40μsの間、又は約200μs未満、約100μs未満、約50μs未満、例えば、約35μs未満及び繰り返し周期T未満となる。一般的に、繰り返し周期Tは約400μs未満、約300μs未満、例えば約200μs未満、或いは約2500Hzを超える、約3300Hzを超える、例えば約5000Hzを超えるパルス反復周波数となる。図示したように、循環パルスDC電力184は矩形波形状(square wave shape)を有し、他の実施形態では、循環パルスDC電力184は非矩形波形状(non−square wave shape)を有する。本書では、パルス作動時間ton中にターゲットに供給される平均DC電力は、直径300mmの基板を処理するように構成された処理チャンバ内に配設されたターゲットに対して、約1kWから約11kWの間となる。異なるサイズの基板を処理するように構成された処理チャンバ内に配設されたターゲットに対しては、適切なスケーリングが使用されてよく、パルス作動時間ton中のターゲット面1cm当たりの平均DC電力は、約1W/cmから約20W/cmの間で、例えば、約1.4W/cmから約16W/cmの間となる。
[0033] 図2は、一実施態様により、アモルファスカーボン層を基板上に堆積させる方法200のフロー図である。図3A〜図3Eは、方法200を用いたアモルファスカーボンハードマスクの形成を示している。
[0034] 方法200は作業210で、図3Aに示した基板190などの基板を、処理チャンバ内の処理空間に配設された基板支持体の上に配置することを含む。本書では、基板190及び/又はその上の一又は複数の材料面は301は、結晶シリコン、酸化ケイ素、酸窒化ケイ素、窒化ケイ素、歪みシリコン、シリコンゲルマニウム、タングステン、窒化チタニウム、ドープされた又はドープされていないポリシリコン、炭素ドープされた酸化ケイ素、窒化ケイ素、ドープされたシリコン、ゲルマニウム、ヒ化ガリウム、ガラス、サファイア、低誘電率誘電体材料、又はこれらの組み合わせを含む材料から形成される。幾つかの実施形態では、基板190の材料表面301は、低誘電率炭素含有誘電体層などの低誘電率材料、低誘電率多孔性酸炭化ケイ素、超低誘電率誘電体層、又はこれらの組み合わせを含む。幾つかの実施形態では、基板190は結晶シリコンである。幾つかの実施形態では、基板支持体の上に基板190を配置することは、基板支持体の誘電体材料に埋め込まれたチャッキング電極にチャッキング電力を供給することを含む。
[0035] 方法200は作業220で、処理空間内に処理ガスを流し込むことを含む。本書では、処理ガスは不活性ガスと反応性ガスを含む。本書に記載の不活性ガスは、Ar、He、Ne、Kr、Xe、又はこれらの組み合わせを含む。反応性ガスは、水素源ガス、窒素源ガス、又はこれらの組み合わせを含む。一実施形態では、反応性ガスはH、水素化炭素ガス、又はこれらの組み合わせなどの水素源ガスを含み、水素化炭素ガスはCH、C、C、C10、C、C、C、及びC10、又はこれらの組み合わせを含む。別の実施形態では、反応性ガスはN、NH、又はこれらの組み合わせなどの窒素源ガスを含む。一般的に、処理ガスは約5%から約95%の間、約5%から約50%の間、約5%から約40%の間、例えば、約20%から約40%の間、或いは20%を超える、水素源ガス、窒素源ガス、又はこれらの組み合わせを含む。一実施形態では、処理ガスはKr及びN或いはKr及びHを含む。一般的に、処理空間内の処理圧は、堆積処理中に、約1mTorrから約1Torrの間、例えば、約1Torr未満、或いは例えば、約1mTorrから約500mTorrの間に維持される。
[0036] 方法200は作業230で、処理空間内に配設されたターゲットにパルスDC電力を供給することを含む。幾つかの実施形態では、パルスDC電力は約1MVから約8MVの間の電圧を含む。一般的に、パルスDC電力の平均パルス持続時間、本書のパルス作動時間は、約10μsから約200μsの間となる。幾つかの実施形態では、ターゲットのスパッタ可能な表面の1cm当たりの平均DC電力は、各パルスサイクルに対して、約1W/cmから約20W/cm、例えば、約1.4W/cmから約16W/cmの間である。本書の実施形態では、ターゲットはグラファイト、アモルファスカーボン、sp2タイプカーボン材料、又はこれらの組み合わせなどのカーボン含有材料を含み、接着剤により、又は他の従来の手段によって金属バッキング板に結合される。
[0037] 方法200は作業240で、処理ガスのプラズマを形成することを含み、方法200は作業250で、基板支持体の誘電体材料に配設されたバイアス電極にバイアス電力を供給することを含む。一実施形態では、バイアス電力は、約10μsから約200μsの間、約10μsから約100μsの間、約10μsから約50μsの間、例えば、約10μsから約25μsの間の平均パルス持続時間、並びに、約10%から約90%の間、約30%から約70%の間のオンタイムデューティサイクルを有するパルスRFバイアス電力である。一実施形態では、基板190の表面の1cm当たりの平均RFバイアス電力は、各パルスサイクルに対して、約0.028W/cmから約1.415W/cmの間、約0.028W/cmから約0.71W/cmの間、例えば、約0.21W/cmである。他の実施形態では、RFバイアス電力は連続波(CW)で供給される。
[0038] 方法200は作業260で、図3Bに示したアモルファスカーボン層303などのアモルファスカーボン層を基板の上に堆積することを含む。一実施形態では、アモルファスカーボン層は、約800Aから約16kAの間、例えば、約2kA未満、約1kA未満など、或いは約4kAを超える、例えば、5kAを超える、或いは約6kAを超える厚みを有する。
[0039] 他の実施形態では、方法200は更に、アモルファスカーボン層303の上に、図3Cに示したパターニング層305などのパターニング層を堆積することを含む。本書では、パターニング層305は、CVD又はPVDなどの従来の堆積方法を用いて、アモルファスカーボン層303を堆積するために使用される堆積チャンバとは異なるチャンバに形成され、酸化ケイ素、窒化ケイ素、アモルファスシリコン、又はこれらの組み合わせを含む。幾つかの実施形態では、方法200は更に、パターンを形成するため、図3Dに示したように、パターニング層305を通る複数の開口部307を形成することと、図3Eに示したように複数の開口部307を延伸することによってアモルファスカーボン層303にパターンを転写することとを含む。本書では、従来のフォトリソグラフィ及び/又はエッチングの方法は、パターンを形成するように複数の第1の開口部を形成するために、アモルファスカーボン層にパターンを転写するために、並びに、アモルファスカーボン層を通って複数の第2の開口部を形成するために、使用される。
[0040] 上述の方法200は、高電力インパルスマグネトロンスパッタリング(HIPIMS)を用いて、アモルファスカーボン層の堆積をもたらす。基板にバイアスを与えること及び不活性ガスに窒素又は水素源ガスを添加することによって、アモルファスカーボン層は、従来のPVD方法を用いて形成されたアモルファスカーボン層と比較して、高密度、高エッチング耐性で、粗度、光学的K及び応力を改善した状態で形成される。
[0041] 別の実施形態では、カーボンハードマスクが提供される。カーボンハードマスクは、基板の上に配設されたアモルファスカーボン層を含み、アモルファスカーボン層は、約1kAから約16kAの間の厚み、約2.0g/cmから約2.4g/cmの間の密度、約15GPaから約20GPaの間の硬度、約1.5nm未満のRMS表面粗度、約633nmの波長で約0.3未満の吸収係数(光学的K)、約2000MPa未満の圧縮膜応力、約100GPaから約300GPaの間のヤング率、及び実質的に柱状の自由形態を備える。幾つかの実施形態では、アモルファスカーボン層は、約15GPaを超える硬度、約16GPaを超える硬度など、例えば、約17GPaを超える硬度を有する。幾つかの実施形態では、アモルファスカーボン層は、約1nm未満の、約0.5nm未満の、例えば、約0.25nm未満のRMS面粗度を有する。幾つかの実施形態では、アモルファスカーボン層は、約633nmの波長で約0.25未満、約0.20未満、例えば、0.17未満、又は約0.15に等しい吸収係数(光学的k)を有する。幾つかの実施形態では、アモルファスカーボン層は、約1000MPaから約2000MPaの間、約1000MPAから約1500MPaの間、例えば、約1500MPa未満、又は約1200MPa未満の圧縮膜応力を有する。本書では、アモルファスカーボン層は、約150GPaから約250GPaの間、又は約150GPa超、又は約250GPa未満のヤング率を有する。幾つかの実施形態では、アモルファスカーボン層は貫通するように形成された複数の開口部を有し、複数の開口部の各々は、約2:1を超える、約3:1を超える、約4:1を超える、約5:1を超える、約6:1を超える、約7:1を超える、約8:1を超える、約9:1を超える、例えば、約10:1を超えるアスペクト比(高さ対幅)を有する。
[0042] 一般的に、本書に記載の実施形態により堆積されたアモルファスカーボン層は、反応性ガスなしで堆積された、一般的に望ましくない柱状の形態を有するアモルファスカーボン層と比較して、実質的に柱状の自由形態を有する。実質的に柱状の形態を有する、また、実質的に柱状の自由形態を有するアモルファスカーボン層の例を図4A及び図4Bにそれぞれ示した。
[0043] 図4Aは、純粋なKr HiPIMS処理(反応性ガスなし)を用いて堆積され、部分的にエッチングされたアモルファスカーボンハードマスクの走査電子顕微鏡(SEM)画像400を示す。ここで、アモルファスカーボン層は実質的に柱状の形態を示している。図4Aに更に示したように、アモルファスカーボンハードマスク400の実質的に柱状の形態は、エッチングされた開口部に望ましくない輪郭及びCD歪みを引き起こしている。図4Bは、本書に記載の実施形態により堆積され、部分的にエッチングされたアモルファスカーボンハードマスクのSEM画像401を示している。ここで、反応性ガスに対する不活性ガスの比率(本書ではKr:N)は約3:1である。図4Bに示したように、HiPIMS堆積処理中のN2の添加物は、実質的に柱状の自由形態を有するアモルファスカーボン層をもたらす。図4Bに更に示したように、実質的に柱状の自由形態は、より滑らかなエッチング輪郭をもたらし、エッチングされた開口部の歪みは少なくなる。
[0044] 上記の説明は本開示の実施形態を対象としているが、本開示の基本的な範囲を逸脱しなければ、本開示の他の実施形態及び更なる実施形態が考案されてよく、本開示の範囲は以下の特許請求の範囲によって決まる。

Claims (15)

  1. アモルファスカーボン層を堆積する方法であって、該方法は、
    処理チャンバ内の処理空間内に配設された基板支持体上に基板を配置することであって、前記処理チャンバは、
    金属バッキング板に結合されたカーボンターゲット、
    前記金属バッキング板に連結されたマグネトロンハウジングであって、前記金属バッキング板と前記マグネトロンハウジングがハウジング空間を画定する、マグネトロンハウジング、
    前記ハウジング空間に配設されたマグネトロンアセンブリ、及び
    回転可能なシャフトによって前記マグネトロンアセンブリに連結されたモーター、
    を備える、基板を配置することと、
    前記処理空間に処理ガスを流し込むことであって、前記処理ガスは、水素、窒素、又はこれらの組合せを含む反応性ガス及び不活性ガスを含む、処理ガスを流し込むことと、
    前記処理空間内に配設された前記カーボンターゲットにパルスDC電力を供給することと、
    前記処理ガスのプラズマを形成することと、
    前記基板支持体に配設されたバイアス電極にバイアス電力を供給することと、
    前記基板上に前記アモルファスカーボン層を堆積することと、
    を含み、前記バイアス電力は、パルスRFバイアス電力である、方法。
  2. 前記パルスDC電力は、約1MVから約8MVの間の電圧パルスを含む、請求項1に記載の方法。
  3. 前記パルスDC電力の平均パルス作動時間は、約10μsから約200μsの間である、請求項2に記載の方法。
  4. 前記反応性ガスは、Hの水素源ガス、水素化炭素ガス、又はこれらの組み合わせを含み、前記反応性ガスは、N、NHの窒素源ガス、又はこれらの組み合わせを含む、請求項1に記載の方法。
  5. 前記バイアス電力は、約0.0283W/cmから約1.45W/cmの間である、請求項1に記載の方法。
  6. 前記バイアス電力は、約10μsから約200μsの間の平均パルス持続時間を有するパルスRFバイアス電力である、請求項5に記載の方法。
  7. パルス作動時間中に前記カーボンターゲットに供給される平均DC電力は、約1W/cmから約20W/cmの間である、請求項2に記載の方法。
  8. 前記基板を約200°C未満の温度に維持することを更に含む、請求項1に記載の方法。
  9. 前記回転可能なシャフトを約10rpmから約200rpmの間で回転することを更に含む、請求項1に記載の方法。
  10. 前記アモルファスカーボン層の上にパターニング層を堆積することであって、前記パターニング層は、酸化ケイ素、窒化ケイ素、アモルファスシリコン、又はこれらの組み合わせを含む、パターニング層を堆積することと、
    前記アモルファスカーボン層を通る複数の開口部を形成することと、
    を更に含む、請求項1に記載の方法。
  11. アモルファスカーボン層を堆積する方法であって、
    処理チャンバ内の処理空間内に配設された基板支持体上に基板を配置することであって、前記処理チャンバは前記処理空間を画定する一又は複数の側壁、チャンバ底部、及びリッドアセンブリを備え、前記リッドアセンブリは、
    金属バッキング板に結合されたカーボンターゲット、
    前記金属バッキング板に連結されたマグネトロンハウジングであって、前記金属バッキング板と前記マグネトロンハウジングはハウジング空間を画定する、マグネトロンハウジング、
    前記ハウジング空間に配設されたマグネトロンアセンブリ、及び、
    回転可能なシャフトによって前記マグネトロンアセンブリに連結されたモーター、
    を備える、基板を配置することと、
    前記処理空間へ処理ガスを流し込むことであって、前記処理ガスは、Ar、He、Ne、Kr、Xe、又はこれらの組み合わせを含む不活性ガス、並びに、水素源ガス、窒素源ガス、又はこれらの組み合わせを含む反応性ガスを含む、処理ガスを流し込むことと、
    約10rpmから約200rpmの間で、前記回転可能なシャフトを通して配設された軸の周りに、前記マグネトロンアセンブリを動かすことと、
    前記処理空間に配設されたターゲットにパルスDC電力を供給することであって、前記パルスDC電力は約1MVから約8MVの間の電圧パルスを有し、パルス作動時間中に前記ターゲットに提供される平均DC電力は、約1W/cmから約20W/cmの間である、パルスDC電力を供給することと、
    前記処理ガスのプラズマを形成することと、
    前記基板支持体に配設されたバイアス電極にバイアス電力を供給することであって、前記バイアス電力は約0.0283W/cmから約1.45W/cmの間である、バイアス電力を供給することと、
    前記基板を約200°C未満の温度に維持することと、
    前記基板上に前記アモルファスカーボン層を堆積することと、
    を含む、方法。
  12. 前記反応性ガスは、H、CH、C、C、C10、C、C、C、及びC10、又はこれらの組み合わせからなる群から選択される、請求項11に記載の方法。
  13. 前記反応性ガスは、N、NH、又はこれらの組み合わせである、請求項12に記載の方法。
  14. 前記アモルファスカーボン層の上にパターニング層を堆積することであって、前記パターニング層は、酸化ケイ素、窒化ケイ素、アモルファスシリコン、又はこれらの組み合わせを含む、パターニング層を堆積することと、
    前記アモルファスカーボン層を通る複数の開口部を形成することと、
    を更に含む、請求項11に記載の方法。
  15. アモルファスカーボン層堆積する方法のための命令を記憶したコンピュータ可読媒体であって、前記方法は、
    処理チャンバ内の処理空間内に配設された基板支持体上に基板を配置することであって、前記処理チャンバは前記処理空間を画定する一又は複数の側壁、チャンバ底部、及びリッドアセンブリを備え、前記リッドアセンブリは、
    金属バッキング板に結合されたカーボンターゲット、
    前記金属バッキング板に連結されたマグネトロンハウジングであって、前記金属バッキング板と前記マグネトロンハウジングはハウジング空間を画定する、マグネトロンハウジング、
    前記ハウジング空間に配設されたマグネトロンアセンブリ、及び、
    回転可能なシャフトによって前記マグネトロンアセンブリに連結されたモーター、
    を備える、基板を配置することと、
    前記処理空間へ処理ガスを流し込むことであって、前記処理ガスは、水素源ガス、窒素源ガス、又はこれらの組み合わせを含む反応性ガス及び不活性ガスを含む、処理ガスを流し込むことと、
    前記回転可能なシャフトを通して配設された軸の周りに、前記マグネトロンアセンブリを動かすことと、
    前記処理空間に配設されたターゲットにパルスDC電力を供給することであって、前記パルスDC電力は約1MVから約8MVの間の電圧パルスを有し、パルス作動時間中に前記ターゲットに供給される平均DC電力は、約1W/cmから約20W/cmの間である、パルスDC電力を供給することと、
    前記処理ガスのプラズマを形成することと、
    前記基板支持体に配設されたバイアス電極にバイアス電力を供給することと、
    前記基板上に前記アモルファスカーボン層を堆積することと、
    を含み、前記バイアス電力は、パルスRFバイアス電力である、コンピュータ可読媒体。
JP2019539920A 2017-01-24 2017-12-27 反応性ガス及びバイアス電力によって、pvdカーボンの膜品質を改善するための方法 Active JP6896869B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762449928P 2017-01-24 2017-01-24
US62/449,928 2017-01-24
US15/820,777 2017-11-22
US15/820,777 US10570506B2 (en) 2017-01-24 2017-11-22 Method to improve film quality for PVD carbon with reactive gas and bias power
PCT/US2017/068538 WO2018140189A1 (en) 2017-01-24 2017-12-27 Method to improve film quality for pvd carbon with reactive gas and bias power

Publications (2)

Publication Number Publication Date
JP2020506289A JP2020506289A (ja) 2020-02-27
JP6896869B2 true JP6896869B2 (ja) 2021-06-30

Family

ID=62905674

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2019539920A Active JP6896869B2 (ja) 2017-01-24 2017-12-27 反応性ガス及びバイアス電力によって、pvdカーボンの膜品質を改善するための方法

Country Status (6)

Country Link
US (1) US10570506B2 (ja)
JP (1) JP6896869B2 (ja)
KR (1) KR102306018B1 (ja)
CN (1) CN110235221A (ja)
TW (1) TW201840872A (ja)
WO (1) WO2018140189A1 (ja)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
KR102405723B1 (ko) 2017-08-18 2022-06-07 어플라이드 머티어리얼스, 인코포레이티드 고압 및 고온 어닐링 챔버
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
CN111357090B (zh) 2017-11-11 2024-01-05 微材料有限责任公司 用于高压处理腔室的气体输送系统
KR20200075892A (ko) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 고압 처리 시스템을 위한 컨덴서 시스템
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
WO2019212592A1 (en) * 2018-05-03 2019-11-07 Applied Materials, Inc. Pulsed plasma (dc/rf) deposition of high quality c films for patterning
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11972943B2 (en) 2019-09-20 2024-04-30 Applied Materials, Inc. Methods and apparatus for depositing dielectric material
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11189462B1 (en) * 2020-07-21 2021-11-30 Tokyo Electron Limited Ion stratification using bias pulses of short duration

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6367721A (ja) * 1986-09-09 1988-03-26 Meidensha Electric Mfg Co Ltd アモルフアス炭素半導体膜の製造方法
US6344419B1 (en) * 1999-12-03 2002-02-05 Applied Materials, Inc. Pulsed-mode RF bias for sidewall coverage improvement
JP4378022B2 (ja) * 2000-03-23 2009-12-02 株式会社アルバック アモルファス炭素成膜装置及び成膜方法
US8585873B2 (en) * 2004-10-16 2013-11-19 Aviza Technology Limited Methods and apparatus for sputtering
JP2009516388A (ja) * 2005-11-18 2009-04-16 レプリソールス テクノロジーズ アーベー 多層構造の形成方法
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
US7968473B2 (en) * 2006-11-03 2011-06-28 Applied Materials, Inc. Low temperature process for depositing a high extinction coefficient non-peeling optical absorber for a scanning laser surface anneal of implanted dopants
US20110011737A1 (en) 2009-07-17 2011-01-20 Institute Of Nuclear Energy Research Atomic Energy Council, Executive Yuan High-power pulse magnetron sputtering apparatus and surface treatment apparatus using the same
US9303311B2 (en) * 2012-03-30 2016-04-05 Applied Materials, Inc. Substrate processing system with mechanically floating target assembly
WO2014149175A1 (en) * 2013-03-15 2014-09-25 Applied Materials, Inc. An amorphous carbon deposition process using dual rf bias frequency applications
US9984915B2 (en) * 2014-05-30 2018-05-29 Infineon Technologies Ag Semiconductor wafer and method for processing a semiconductor wafer
US9695503B2 (en) * 2014-08-22 2017-07-04 Applied Materials, Inc. High power impulse magnetron sputtering process to achieve a high density high SP3 containing layer
US9633839B2 (en) 2015-06-19 2017-04-25 Applied Materials, Inc. Methods for depositing dielectric films via physical vapor deposition processes
US10858727B2 (en) * 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition

Also Published As

Publication number Publication date
TW201840872A (zh) 2018-11-16
US10570506B2 (en) 2020-02-25
KR20190102091A (ko) 2019-09-02
KR102306018B1 (ko) 2021-09-30
JP2020506289A (ja) 2020-02-27
US20180209037A1 (en) 2018-07-26
CN110235221A (zh) 2019-09-13
WO2018140189A1 (en) 2018-08-02

Similar Documents

Publication Publication Date Title
JP6896869B2 (ja) 反応性ガス及びバイアス電力によって、pvdカーボンの膜品質を改善するための方法
US11581183B2 (en) Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
JP6795686B2 (ja) 高密度、低応力のアモルファスカーボン膜、ならびにその堆積のための方法および装置
JP6673903B2 (ja) 高密度高Sp3含有層を実現するための高電力インパルスマグネトロンスパッタリング処理
TWI743072B (zh) 蝕刻方法及蝕刻裝置
TWI499682B (zh) 電漿處理腔室以及沉積薄膜的方法
US10734235B2 (en) Systems and methods for low resistivity physical vapor deposition of a tungsten film
TWI616552B (zh) 製程工具防護板及具有防護板之物理氣相沉積室
CN105256276A (zh) 具有增强的离子化和rf功率耦合的低电阻率钨pvd
JPH05166757A (ja) 被処理体の温調装置
JP2018537849A5 (ja)
US20200048760A1 (en) High power impulse magnetron sputtering physical vapor deposition of tungsten films having improved bottom coverage
JP2016207772A (ja) 有機膜をエッチングする方法
TWI754503B (zh) 用於沉積壓電材料的方法及裝置
JP4566373B2 (ja) 酸化膜エッチング方法
JP2008240112A (ja) マグネトロンスパッタリング装置および半導体装置の製造方法
JP5014696B2 (ja) 薄膜形成方法、銅配線膜形成方法
US11170998B2 (en) Method and apparatus for depositing a metal containing layer on a substrate

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190918

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20200907

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200915

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20201214

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210511

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210609

R150 Certificate of patent or registration of utility model

Ref document number: 6896869

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150