JP2019145048A - 半導体集積回路、その設計方法、プログラム及び記憶媒体 - Google Patents

半導体集積回路、その設計方法、プログラム及び記憶媒体 Download PDF

Info

Publication number
JP2019145048A
JP2019145048A JP2018031360A JP2018031360A JP2019145048A JP 2019145048 A JP2019145048 A JP 2019145048A JP 2018031360 A JP2018031360 A JP 2018031360A JP 2018031360 A JP2018031360 A JP 2018031360A JP 2019145048 A JP2019145048 A JP 2019145048A
Authority
JP
Japan
Prior art keywords
scan
flop
flip
semiconductor integrated
integrated circuit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2018031360A
Other languages
English (en)
Other versions
JP7169044B2 (ja
JP2019145048A5 (ja
Inventor
貴久 中湖
Takahisa Chuko
貴久 中湖
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Synaptics Inc
Original Assignee
Synaptics Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Synaptics Inc filed Critical Synaptics Inc
Priority to JP2018031360A priority Critical patent/JP7169044B2/ja
Priority to US16/279,131 priority patent/US11005458B2/en
Publication of JP2019145048A publication Critical patent/JP2019145048A/ja
Publication of JP2019145048A5 publication Critical patent/JP2019145048A5/ja
Application granted granted Critical
Publication of JP7169044B2 publication Critical patent/JP7169044B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K3/00Circuits for generating electric pulses; Monostable, bistable or multistable circuits
    • H03K3/02Generators characterised by the type of circuit or by the means used for producing pulses
    • H03K3/027Generators characterised by the type of circuit or by the means used for producing pulses by the use of logic circuits, with internal or external positive feedback
    • H03K3/037Bistable circuits
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318555Control logic
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318541Scan latches or cell details
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/327Logic synthesis; Behaviour synthesis, e.g. mapping logic, HDL to netlist, high-level language to RTL or netlist
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/33Design verification, e.g. functional simulation or model checking

Abstract

【課題】スキャンテストに対応した半導体集積回路について、故障検出率の低下及び/又はテストパタン数の増加を抑制する。【解決手段】半導体集積回路が、スキャン入力とデータ入力とを有するスキャンフリップフロップと、キャプチャモードにおいて、前記スキャンフリップフロップが前記スキャン入力に入力された値をキャプチャするように前記スキャンフリップフロップを制御可能に構成されたスキャン制御回路部とを備えている。【選択図】図2

Description

本発明は、半導体集積回路、及びその設計技術に関する。
スキャンテストは、テスト容易化設計技術の一つとして用いられる。スキャンテストに対応した半導体集積回路には、スキャンフリップフロップが直列に接続されたスキャンチェーンが集積化される。テストが行われる場合、テストデータがテスト対象の回路の入力に接続されたスキャンフリップフロップに設定され、テスト対象の回路が動作される。更に、テスト対象の回路の出力に接続されたスキャンフリップフロップから出力応答が取り出され、取り出された出力応答に基づいて半導体集積回路の故障検出が行われる。
回路の大規模化に伴うテスト時間の増加に対応するために、圧縮スキャンと呼ばれる手法が導入され得る。圧縮スキャンとは、圧縮テストデータを展開回路で展開して複数のスキャンチェーンのそれぞれにテストデータを供給すると共に、該複数のスキャンチェーンから出力される出力応答を圧縮して半導体集積回路の外部に出力する技術である。テスタでは、圧縮された出力応答に基づいて半導体集積回路の故障検出が行われる。圧縮スキャンによれば、各スキャンチェーンの長さを短くすることができるので、テスト時間を短縮することができる。
スキャンフリップフロップが不定値をキャプチャする場合には、該スキャンフリップフロップから得られる出力応答に基づく故障検出ができなくなり、故障検出率の低下及び/又はテストパタン数の増加を招くことがある。
一の実施形態では、半導体集積回路が、回路の出力に接続されたデータ入力を有するスキャンフリップフロップと、キャプチャモードにおいて、該スキャンフリップフロップがスキャン入力に入力されたデータをキャプチャするように該スキャンフリップフロップを制御可能に構成されたスキャン制御回路部とを備えている。
他の実施形態では、半導体集積回路の設計方法が、コンピュータが、少なくとも一のスキャンフリップフロップが、キャプチャモードにおいて、スキャン入力に入力された値をキャプチャ可能であるように、前記スキャンフリップフロップを含む半導体集積回路のネットリストを生成することを含む。
他の実施形態では、プログラムが、少なくとも一のスキャンフリップフロップが、キャプチャモードにおいて、スキャン入力に入力された値をキャプチャ可能であるように、前記スキャンフリップフロップを含む半導体集積回路のネットリストを生成するステップをコンピュータに実行させる。
一実施形態の半導体集積回路の構成を示すブロック図である。 一実施形態の半導体集積回路の構成を示す回路図である。 参考例の半導体集積回路の構成を示す回路図である。 一実施形態において行われるACスキャンを示す回路図である。 参考例において行われるACスキャンを示す回路図である。 一実施形態の半導体集積回路の構成を示す回路図である。 一実施形態の半導体集積回路の構成を示す回路図である。 一実施形態の半導体集積回路の構成を示す回路図である。 一実施形態の半導体集積回路の構成を示す回路図である。 一実施形態の半導体集積回路の構成を示す回路図である。 一実施形態における集積回路設計装置の構成を示すブロック図である。 一実施形態における半導体集積回路の設計手順を示すフローチャートである。
以下、添付図面を参照しながら、本開示の実施形態を説明する。
図1に示す一実施形態では、半導体集積回路100が、展開回路11と、スキャンチェーン12〜12と、圧縮回路13とを備えている。
展開回路11は、スキャンイン端子14に供給された圧縮テストデータを展開してテストデータを生成し、生成したテストデータをスキャンチェーン12〜12のそれぞれに供給する。
スキャンチェーン12〜12は、それぞれ、直列に接続されたスキャンフリップフロップSFFを備えている。本実施形態では、スキャンチェーン12がスキャンフリップフロップSFF11〜SFF14を備えており、スキャンチェーン12がスキャンフリップフロップSFF21〜SFF24を備えている。同様に、スキャンチェーン12がスキャンフリップフロップSFF31〜SFF34を備えており、スキャンチェーン12がスキャンフリップフロップSFF41〜SFF44を備えている。なお、スキャンチェーン12の数及び各スキャンチェーン12に含まれるスキャンフリップフロップの数は、半導体集積回路100の構成に合わせて適宜に変更され得る。
圧縮回路13は、スキャンチェーン12〜12から出力される出力応答を圧縮して圧縮テスト結果データを生成し、圧縮テスト結果データをスキャンアウト端子15から出力する。圧縮テスト結果データは、例えば、半導体集積回路100の外部のテスタに供給され、該テスタにより半導体集積回路100の故障検出が行われる。
本実施形態では、圧縮回路13は、XOR回路13a〜13cを備えており、スキャンチェーン12〜12のそれぞれから出力される出力応答の排他的論理和を圧縮テスト結果データとして出力する。例えば、スキャンフリップフロップSFF14、SFF24、SFF34、SFF44に格納された出力応答が、それぞれ、“1”、“0”、“0”、“1”である場合、圧縮回路13から出力される圧縮テスト結果データの該出力応答に対応するビットは、“0”である。同様に、スキャンフリップフロップSFF13、SFF23、SFF33、SFF43に格納された出力応答が、それぞれ、“1”、“1”、“0”、“1”である場合、圧縮回路13から出力される圧縮テスト結果データの該出力応答に対応するビットは、“1”である。
図2に示すように、各スキャンフリップフロップSFFは、データ入力DATA、スキャン入力SIN、スキャンイネーブル端子SE、クロック端子CLK及びデータ出力Qを有している。図2には、スキャンチェーン12のスキャンフリップフロップSFF21〜24のみが図示されているが、他のスキャンフリップフロップSFFも同様に構成されている。
各スキャンフリップフロップSFFのデータ入力DATAは、スキャンテストにおいて出力値をキャプチャすべき回路の出力に接続される。図2の構成では、スキャンフリップフロップSFF21、SFF22、SFF23及びSFF24が、それぞれ、テスト対象の回路#1、回路#2、回路#3及び回路#4に接続されている。
スキャン入力SINは、スキャンチェーンの他のスキャンフリップフロップのデータ出力Q又は展開回路に接続される。図2の構成では、スキャンフリップフロップSFF21のスキャン入力SINが、展開回路11に接続され、スキャンフリップフロップSFF22、SFF23及びSFF24のスキャン入力SINが、それぞれ、スキャンフリップフロップSFF21、SFF22及びSFF23のデータ出力Qに接続されている。
クロック端子CLKには、クロック信号(図示されない)が供給される。各スキャンフリップフロップSFFは、クロック端子CLKに入力されたクロック信号に同期してデータ入力DATA又はスキャン入力SINの入力値をキャプチャするように構成される。
各スキャンフリップフロップSFFは、スキャンイネーブル端子SEの値に応じて、データ入力DATAとスキャン入力SINを選択し、選択した入力に入力された値をキャプチャするように構成される。スキャンイネーブル端子SEがディスイネーブルにされると(一実施形態では、“0”に設定されると)、各スキャンフリップフロップSFFは、データ入力DATAの入力値をキャプチャする。一方、スキャンイネーブル端子SEがイネーブルにされると(一実施形態では“1”に設定されると)、各スキャンフリップフロップSFFは、スキャン入力SINの入力値をキャプチャする。各スキャンフリップフロップSFFがキャプチャした値は、データ出力Qから出力される。
本実施形態では、半導体集積回路100が、スキャンテストにおいて設定される動作モードとして、シフトモードとキャプチャモードとを有している。シフトモードとは、スキャンチェーンをシフトレジスタとして動作させ、シフト動作によって各スキャンフリップフロップSFFへの所望の値の設定、及び、キャプチャモードでキャプチャした値の読み出しを行う動作モードである。キャプチャモードとは、スキャンチェーンがそのスキャンフリップフロップSFFのデータ入力に接続された回路から値をキャプチャする動作モードである。ただし、後述されるように、本実施形態では、全てのスキャンフリップフロップSFFがデータ入力に接続された回路から値をキャプチャするとは限らない。本実施形態では、少なくとも一のスキャンフリップフロップSFFが、キャプチャモードにおいてスキャン入力SINから値をキャプチャ可能であるように構成される。
本実施形態の半導体集積回路100は、キャプチャモードに設定されたときに、スキャンフリップフロップSFFの少なくとも一つがスキャン入力SINを選択可能であるように構成されている。他のスキャンフリップフロップSFFは、半導体集積回路100がキャプチャモードに設定されたときに、データ入力を選択する。
詳細には、本実施形態では、各スキャンフリップフロップSFFのスキャンイネーブル端子SEに、スキャンイネーブル信号SCAN_EN又はスキャンモード信号SCAN_MODEのいずれかがスキャン制御回路部16から供給される。ここで、スキャンイネーブル信号SCAN_ENは、スキャンテストにおいて半導体集積回路100がシフトモードに設定されたときにアサートされ(一実施形態では、“1”に設定され)、キャプチャモードに設定されたときにディアサートされる(一実施形態では、“0”に設定される)信号である。また、スキャンモード信号SCAN_MODEは、半導体集積回路100をスキャンモードに設定するための信号であり、半導体集積回路100のスキャンテストが行われる間、常にアサートされる。
スキャンイネーブル端子SEにスキャンイネーブル信号SCAN_ENが供給されるスキャンフリップフロップSFFは、半導体集積回路100がキャプチャモードに設定された場合に、データ入力DATAを選択する。このようなスキャンフリップフロップSFFは、キャプチャモードにおいて、データ入力DATAへの入力値をキャプチャすることになる。
一方、スキャンイネーブル端子SEにスキャンモード信号SCAN_MODEが供給されるスキャンフリップフロップSFFは、半導体集積回路100がキャプチャモードに設定された場合でも、スキャン入力SINを選択する。このようなスキャンフリップフロップSFFは、キャプチャモードにおいて、スキャン入力SINへの入力値をキャプチャすることになる。
スキャン制御回路部16は、所望のスキャンフリップフロップSFFにスキャンモード信号SCAN_MODEを供給すると共に、残りのスキャンフリップフロップSFFにスキャンイネーブル信号SCAN_ENを供給するように構成されている。本実施形態では、スキャン制御回路部16は、スキャンイネーブル信号線16aとスキャンモード信号線16bとを備えている。スキャンイネーブル信号線16aは、スキャンイネーブル信号SCAN_ENの供給に用いられ、スキャンモード信号線16bは、スキャンモード信号SCAN_MODEの供給に用いられる。一実施形態では、スキャンモード信号SCAN_MODEは、半導体集積回路100に集積化されたレジスタ(図示されない)によって生成され、スキャンモード信号線16bに供給される。
各スキャンフリップフロップSFFのスキャンイネーブル端子SEにスキャンイネーブル信号SCAN_EN又はスキャンモード信号SCAN_MODEのいずれが供給されるかは、データ入力DATAに接続される回路の特性に応じて選択される。
具体的には、半導体集積回路100のスキャンテストにおいて、データ入力DATAに接続された回路から確定した値(“1”又は“0”)をキャプチャするスキャンフリップフロップSFFについては、スキャンイネーブル端子SEにスキャンイネーブル信号SCAN_ENが供給される。
一方、一の設定について、データ入力DATAに接続された回路から不定値(X)をキャプチャするスキャンフリップフロップSFFについては、スキャンイネーブル端子SEにスキャンイネーブル信号SCAN_ENが供給される。スキャンフリップフロップSFFがデータ入力DATAに接続された回路から不定値をキャプチャする例としては、例えば、下記が挙げられる。
第1の例は、データ入力DATAに接続された回路が、ブラックボックスとして扱われるマクロである場合である。
第2の例は、スキャンテストにおける設定により、キャプチャモード時に当該スキャンフリップフロップSFFが不定値をキャプチャするように設定される場合である。
第3の例は、スキャンフリップフロップSFFのデータ入力DATAが、スキャンチェーンに属していないフリップフロップのデータ出力に接続されている場合である。ただし、テストパタンが、順序回路のテストが可能であるように生成される場合、例えば、テストパタンの生成にシーケンシャルATPG(automatic test pattern generation)が用いられる場合には、データ入力DATAにスキャンチェーンに属していないフリップフロップが接続されていても、キャプチャする値が確定できる場合もある。
第4の例は、データ入力DATAへのパスが、フォールスパス又はマルチサイクルパスとして指定されている場合である。
図2の構成では、スキャンテスト時に、スキャンフリップフロップSFF23が、特定の設定について回路#3から不定値をキャプチャする。これに対応して、スキャンフリップフロップSFF23のスキャンイネーブル端子SEにスキャンモード信号SCAN_MODEが入力される。以下において、あるスキャンフリップフロップSFFが不定値をキャプチャする場合、該不定値を“X”と表記することがある。図2の回路#3に付された記号“X”は、一の設定についてスキャンフリップフロップSFF23が回路#3から不定値をキャプチャすることを示している。一方、スキャンフリップフロップSFF21、SFF22、SFF24のスキャンイネーブル端子SEにはスキャンイネーブル信号SCAN_ENが入力される。
一実施形態では、図1、図2に示された構成の半導体集積回路100のスキャンテストが、下記のようにして行われる。まず、DCスキャンを行う場合の半導体集積回路100の動作を説明する。DCスキャンとは、縮退故障を検出するために行われる低速のスキャンテストである。
スキャンモード信号SCAN_MODEをアサートすることにより半導体集積回路100がスキャンモードに設定される。
更に、スキャンイネーブル信号SCAN_ENをアサートすることにより半導体集積回路100がシフトモードに設定され、スキャンシフト動作が行われる。これにより、各スキャンフリップフロップSFFはスキャン入力SINを選択する状態に設定され、スキャン入力SINを介して各スキャンフリップフロップSFFにテストデータが設定される。ただし、図2には、テスト対象の回路#1〜#4の入力に接続されて回路#1〜#4にテストデータを供給するスキャンフリップフロップや外部入力端子は図示されていない。
続いて、スキャンイネーブル信号SCAN_ENをディアサートすることにより半導体集積回路100がキャプチャモードに設定される。この状態では、スキャンフリップフロップSFF21、SFF22、SFF24は、スキャンイネーブル端子SEにスキャンイネーブル信号SCAN_ENが入力されているので、データ入力DATAを選択する。一方で、スキャンフリップフロップSFF23は、スキャンイネーブル端子SEにスキャンモード信号SCAN_MODEが供給されているので、スキャン入力SINを選択する。
続いて、各スキャンフリップフロップSFFのクロック端子CLKにクロックパルスが供給され、各スキャンフリップフロップSFFは、キャプチャ動作を行う。スキャンフリップフロップSFF21、SFF22、SFF24は、それぞれ、回路#1、#2、#4の出力値をキャプチャする。一方、スキャンフリップフロップSFF23は、スキャンフリップフロップSFF22の出力値をキャプチャする。
このような動作によれば、回路#3が不定値を出力する場合でも、圧縮回路13から出力される圧縮テスト結果データの値の期待値が確定できる。
図3に示すように、スキャンフリップフロップSFF23のスキャンイネーブル端子SEにスキャンイネーブル信号SCAN_ENが供給される構成では、スキャンフリップフロップSFF23が不定値をキャプチャすることになる。このため、スキャンフリップフロップSFF13、SFF23、SFF33、SFF43から出力される出力応答を圧縮して得られる圧縮テスト結果データの値の期待値が確定できない。よって、スキャンフリップフロップSFF23のデータ入力DATAに接続されている回路#3の故障検出ができない上に、スキャンフリップフロップSFF13、SFF33、SFF43のデータ入力に接続されている回路の故障検出もできない。これは、故障検出率を低下させ、及び/又はテストパタン数を増加させ得る。
一方、図2に示す本実施形態の構成では、キャプチャモードにおいて、スキャンフリップフロップSFF23がスキャンフリップフロップSFF22から既知の値(“1”又は“0”)をキャプチャする。このような動作では、スキャンフリップフロップSFF13、SFF23、SFF33、SFF43に格納された出力応答を圧縮して生成される圧縮テスト結果データの値の期待値が確定できる。よって、スキャンフリップフロップSFF13、SFF33、SFF43のデータ入力に接続されている回路の故障検出を行うことができる。これは、故障検出率の向上とテストパタン数の低減に有効である。
本実施形態の半導体集積回路100の構成は、2クロック以上のキャプチャクロックを印加するスキャンテストにおける、スキャンフリップフロップSFF22のデータ出力Qに接続された回路の故障検出にも有効である。このようなスキャンテストの例としては、シーケンシャルATPGを用いたDCスキャン及びACスキャンが挙げられる。ここで、ACスキャンとは、遅延故障の検出を行うための実速度のスキャンテストである。
例えば、本実施形態の半導体集積回路100の構成は、図4に示すように、スキャンフリップフロップSFF23のデータ出力Qに接続されている回路17の遅延故障を、ACスキャンによって検出可能にするために有効である。回路17の出力にはスキャンフリップフロップSFF51が接続され、回路17の遅延故障は、スキャンフリップフロップSFF51がキャプチャした値に基づいて検出される。
回路17が、全体として、入力信号を反転した出力信号を出力するように構成されており、且つ、回路17の出力の立ち下がりが遅れる故障を検出する場合、シフトモードにおけるシフト動作により、スキャンフリップフロップSFF23に値“0”が設定され、スキャンフリップフロップSFF22に値“1”が設定される。
その後、スキャンイネーブル信号SCAN_ENがディアサートされ、半導体集積回路100がキャプチャモードに設定される。このとき、スキャンフリップフロップSFF23のスキャンイネーブル端子SEにはスキャンモード信号SCAN_MODEが供給されているから、スキャンフリップフロップSFF23は、スキャン入力SINを選択する。
更に、各スキャンフリップフロップSFFのクロック端子CLKに2つのクロックパルスが供給され、各スキャンフリップフロップSFFは、キャプチャ動作を行う。スキャンフリップフロップSFF23は、第1のクロックパルスに同期してスキャンフリップフロップSFF22の出力値をキャプチャし、キャプチャした値を回路17に出力する。その後、第2のクロックパルスに同期して、スキャンフリップフロップSFF51が、回路17の出力値をキャプチャする。このような動作によれば、スキャンフリップフロップSFF23の出力値は、確定的に“0”から“1”に遷移する。よって、スキャンフリップフロップSFF51がキャプチャする値に基づいて、回路17の出力の立ち下がりが遅れる故障を検出することができる。例えば、スキャンフリップフロップSFF51がキャプチャする値が“1”のままで変化しなければ、回路17は、出力の立ち下がりが遅れる故障を有しているものと判断できる。
本実施形態の半導体集積回路100の構成の有用性は、図5に示した構成との対比からも理解され得る。図5に示すように、スキャンフリップフロップSFF23のスキャンイネーブル端子SEにスキャンイネーブル信号SCAN_ENが供給されている場合には、スキャンフリップフロップSFF23が回路#3から不定値をキャプチャする。よって、スキャンフリップフロップSFF23の出力値が不定となる。よって、回路17の遅延故障をACスキャンによって検出することができない。
なお、不定値を出力する回路がデータ入力DATAに接続されたスキャンフリップフロップSFFのスキャンイネーブル端子SEに供給される信号は、半導体集積回路100がスキャンモードに設定されるときにアサートされる信号であればよく、スキャンモード信号SCAN_MODEに限られない。例えば、図6に示すように、スキャンモードにおいて値“1”に設定されるフリップフロップFF11がスキャン制御回路部16に用意され、フリップフロップFF11のデータ出力Qから出力される出力信号が、スキャンフリップフロップSFFのスキャンイネーブル端子SEに供給されてもよい。
図7に示す一実施形態では、スキャンモードにおいて複数の種類のスキャンテストが行われ、半導体集積回路100Aが、スキャンテストの種類に応じて、各スキャンフリップフロップSFFのスキャンイネーブル端子SEに供給される信号が、スキャンイネーブル信号SCAN_ENとスキャンモード信号SCAN_MODEから選択されるように構成される。具体的には、本実施形態では、スキャンモードにおいてDCスキャンとACスキャンとが行われる。データ入力に接続される回路の特性に加え、DCスキャンとACスキャンのいずれが行われるかに応じて各スキャンフリップフロップSFFのスキャンイネーブル端子SEに供給される信号が選択される。
本実施形態では、半導体集積回路100Aが、各スキャンフリップフロップSFFのスキャンイネーブル端子SEに、スキャンイネーブル信号SCAN_EN又はスキャンモード信号SCAN_MODEのいずれかを供給するスキャン制御回路部26を備えている。
スキャン制御回路部26は、スキャンイネーブル信号線26aとスキャンモード信号線26bとセレクタ26cとを備えている。スキャンイネーブル信号線26aは、スキャンイネーブル信号SCAN_ENを供給し、スキャンモード信号線26bは、スキャンモード信号SCAN_MODEを供給する。セレクタ26cは、スキャンイネーブル信号SCAN_EN、スキャンモード信号SCAN_MODEのいずれかを選択し、選択した信号を出力する。
本実施形態では、セレクタ26cにACスキャンモード信号AC_SCANが供給され、セレクタ26cは、ACスキャンモード信号AC_SCANに応じてスキャンイネーブル信号SCAN_EN、スキャンモード信号SCAN_MODEのいずれかを選択する。ACスキャンモード信号AC_SCANは、ACスキャン、DCスキャンのいずれが行われるかを指定する信号であり、ACスキャンが行われる場合にアサートされ、DCスキャンが行われる場合にディアサートされる。
本実施形態では、回路#2は、その出力値が、DCスキャンとACスキャンのいずれが行われる場合についても不定値であるように構成されている。これに対応して、スキャン制御回路部26は、回路#2にデータ入力DATAが接続されているスキャンフリップフロップSFF22のスキャンイネーブル端子SEにスキャンモード信号SCAN_MODEを供給する。
また、回路#3は、その出力値が、ACスキャンが行われる場合に不定値であるように構成されている。DCスキャンの際には、出力値が“0”又は“1”のいずれかに確定する。これに対応して、スキャン制御回路部26は、回路#3にデータ入力DATAが接続されているスキャンフリップフロップSFF23のスキャンイネーブル端子SEに、セレクタ26cによりACスキャンモード信号AC_SCANに応じて選択された信号を供給する。ACスキャンが行われる場合、ACスキャンモード信号AC_SCANがアサートされ、セレクタ26cは、スキャンモード信号SCAN_MODEをスキャンフリップフロップSFF23のスキャンイネーブル端子SEに供給する。DCスキャンが行われる場合、ACスキャンモード信号AC_SCANがディアサートされ、セレクタ26cは、スキャンイネーブル信号SCAN_ENをスキャンフリップフロップSFF23のスキャンイネーブル端子SEに供給する。
回路#1、#4にデータ入力DATAが接続されているスキャンフリップフロップSFF21、SFF24のスキャンイネーブル端子SEには、スキャンイネーブル信号SCAN_ENが供給される。
図7の半導体集積回路100Aの構成では、スキャンテストの種類に応じて、各スキャンフリップフロップSFFのスキャンイネーブル端子SEに供給される信号を適切に選択可能である。
なお、スキャンフリップフロップSFF23のスキャンイネーブル端子SEに供給される信号の選択に用いられる信号は、ACスキャンモード信号AC_SCANに限られない。スキャンテストの種類に応じて任意の選択信号が生成され、スキャンフリップフロップSFF23のスキャンイネーブル端子SEに供給される信号が、当該選択信号に応じてスキャンイネーブル信号SCAN_ENとスキャンモード信号SCAN_MODEとのうちから選択されてもよい。この場合、当該選択信号がセレクタ26cに供給され、セレクタ26cが、当該選択信号に応じてスキャンフリップフロップSFF23のスキャンイネーブル端子SEに供給される信号を、スキャンイネーブル信号SCAN_ENとスキャンモード信号SCAN_MODEとのうちから選択してもよい。
例えば、図8に示すように、スキャンテストの種類に応じた値に設定されるフリップフロップFF12がスキャン制御回路部26に用意され、フリップフロップFF12のデータ出力Qから出力される出力信号が、セレクタ26cに供給されてもよい。この場合、セレクタ26cは、フリップフロップFF12から受け取った該出力信号に応じてスキャンイネーブル信号SCAN_ENとスキャンモード信号SCAN_MODEのいずれかを選択し、選択した信号をスキャンフリップフロップSFF23のスキャンイネーブル端子SEに供給する。一実施形態では、ACスキャンが行われる場合にフリップフロップFF12に値“1”が設定され、フリップフロップFF12のデータ出力Qから出力される出力信号がアサートされる。セレクタ26cは、スキャンモード信号SCAN_MODEをスキャンフリップフロップSFF23のスキャンイネーブル端子SEに供給する。一方、DCスキャンが行われる場合にはフリップフロップFF12に値“0”が設定され、フリップフロップFF12のデータ出力Qから出力される出力信号がディアサートされる。セレクタ26cは、スキャンイネーブル信号SCAN_ENをスキャンフリップフロップSFF23のスキャンイネーブル端子SEに供給する。
図9に示す一実施形態では、少なくとも一のスキャンフリップフロップSFFのスキャンイネーブル端子SEに供給される信号を制御するSE制御信号SE_CTRLが半導体集積回路100Bの外部から供給される。該スキャンフリップフロップSFFのスキャンイネーブル端子SEには、スキャンイネーブル信号SCAN_ENとスキャンモード信号SCAN_MODEのうちからSE制御信号SE_CTRLに応じて選択された信号が供給される。
このような構成は、データ入力DATAからキャプチャする値が不定値であり得るが不定値である確率が100%未満であるようなスキャンフリップフロップSFFが存在する場合に有用である。半導体集積回路100Bの構成や動作によっては、あるスキャンフリップフロップSFFがデータ入力DATAからキャプチャする値が不定値であり得るが、100%の確率で不定値であるとは特定できない場合がある。データ入力DATAからキャプチャする値が不定値である確率が100%未満であるスキャンフリップフロップSFFのスキャンイネーブル端子SEに、スキャンイネーブル信号SCAN_ENとスキャンモード信号SCAN_MODEのうちからSE制御信号SE_CTRLに応じて選択された信号を供給する構成は、故障検出率の向上及びテストパタン数の低減に有効である。
例えば、図9の構成では、スキャンフリップフロップSFF22が回路#2からキャプチャする値が100%の確率で不定値である一方で、スキャンフリップフロップSFF23が回路#3からキャプチャする値が不定値である確率は、0%より大きいが、100%未満である。スキャンフリップフロップSFF22のスキャンイネーブル端子SEには、スキャンモード信号SCAN_MODEが供給される。一方、スキャンフリップフロップSFF23のスキャンイネーブル端子SEには、スキャンイネーブル信号SCAN_ENとスキャンモード信号SCAN_MODEのうちからSE制御信号SE_CTRLに応じて選択された信号が供給される。本実施形態では、スキャン制御回路部26のセレクタ26cにSE制御信号SE_CTRLが供給される。セレクタ26cは、SE制御信号SE_CTRLに応じてスキャンイネーブル信号SCAN_EN、スキャンモード信号SCAN_MODEのいずれかを選択し、選択した信号をスキャンフリップフロップSFF23のスキャンイネーブル端子SEに供給する。
SE制御信号SE_CTRLのアサート/ディアサートは、故障検出率が向上するように適宜に選択される。一実施形態では、SE制御信号SE_CTRLのアサート/ディアサートが半導体集積回路100Bのテストに用いられるATPGツールによって判断されてもよい。他の実施形態では、SE制御信号SE_CTRLがアサートされるテストパタンとディアサートされるテストパタンの両方が作成され、作成された両テストパタンがスキャンテストに用いられてもよい。
図10に示すように、SE制御信号SE_CTRLを半導体集積回路100Bの外部から供給する代わりに、外部から値を設定可能なスキャンフリップフロップSFF61がスキャン制御回路部26に設けられ、スキャンフリップフロップSFF61のデータ出力Qから出力される出力信号がSE制御信号SE_CTRLとしてセレクタ26cに供給されてもよい。スキャンフリップフロップSFF61は、適宜のスキャンチェーンに組み込まれる。スキャンテストが行われる場合、シフトモードにおけるシフト動作により、所望の値がスキャンフリップフロップSFF61に設定される。
スキャンテストにおいては、スキャンフリップフロップSFF61に設定された値に応じてSE制御信号SE_CTRLがアサート又はディアサートされる。セレクタ26cは、SE制御信号SE_CTRLに応じてスキャンイネーブル信号SCAN_ENとスキャンモード信号SCAN_MODEのいずれかを選択する。
一実施形態では、半導体集積回路100、100A、100Bは、図11に図示されている集積回路設計装置40によって設計されてもよい。集積回路設計装置40は、記憶装置41と、プロセッサ42と、入出力装置43とを備えるコンピュータとして構成される。
記憶装置41には、圧縮スキャン挿入ツール41a及びパタン生成ツール41bがインストールされる。記憶装置41は、圧縮スキャン挿入ツール41a及びパタン生成ツール41bを記憶する非一時的記憶媒体(non-transitory tangible storage medium)として用いられる。圧縮スキャン挿入ツール41a及びパタン生成ツール41bは、いずれも、半導体集積回路100、100A、100Bの設計に用いられるソフトウェアプログラムである。圧縮スキャン挿入ツール41a及びパタン生成ツール41bは、コンピュータプログラム製品(computer program product)として、適宜のコンピュータ読み取り可能記憶媒体(computer-readable storage medium)44に記憶されて、又は、サーバからダウンロードされて提供されてもよい。加えて、記憶装置41には、半導体集積回路100、100A、100Bの設計において使用され、又は生成されるデータが格納される。
プロセッサ42は、圧縮スキャン挿入ツール41a及びパタン生成ツール41bを実行する。一実施形態では、CPU(central processing unit)がプロセッサ42として使用され得る。
入出力装置43は、半導体集積回路100、100A、100Bの設計に用いられるデータを外部から受け取り、又は、集積回路設計装置40によって生成された設計データ、例えば、ネットリスト及びパタンデータを外部に出力する。
一実施形態では、半導体集積回路100、100A、100Bが、集積回路設計装置40を用いて、図12に示す設計手順で設計されてもよい。
この設計手順では、まず、半導体集積回路100、100A、100Bのネットリスト31が用意される。ネットリスト31は、半導体集積回路100、100A、100Bに含まれる回路素子及びその接続関係を記述している。一実施形態では、ネットリスト31の生成の際にスキャンフリップフロップへのマッピングが行われてもよい。この場合、ネットリスト31にスキャンフリップフロップが記述されている。ただし、ネットリスト31に記述されている半導体集積回路100、100A、100Bの構成では、展開回路11、スキャンチェーン12、圧縮回路13及びスキャン制御回路部16、26が含まれていない。ネットリスト31は、記憶装置41に格納されてもよい。
ステップS01では、ネットリスト31に対して、展開回路11、スキャンチェーン12及び圧縮回路13を挿入する処理が行われる。ステップS01の挿入処理は、プロセッサ42が圧縮スキャン挿入ツール41aを実行することによって行われ、展開回路11、スキャンチェーン12及び圧縮回路13を挿入されたネットリストは、記憶装置41に格納される。
詳細には、ステップS01の挿入処理では、まず、ネットリスト31、ライブラリ33及びスキャン設定ファイル34が読み込まれる。ネットリスト31、ライブラリ33及びスキャン設定ファイル34は、記憶装置41に格納されてもよい。スキャン設定ファイル34は、半導体集積回路100、100A、100Bに対して行われるスキャンテストの設定を記述している。
更に、ライブラリ33及びスキャン設定ファイル34を参照しながら、スキャンチェーン12、展開回路11及び圧縮回路13が挿入される。ネットリスト31に(スキャンフリップフロップフロップではなく)通常のフリップフロップが記述されている場合、スキャンチェーン12の挿入において、ネットリスト31に記述されているフリップフロップの少なくとも一部が、スキャンフリップフロップに置換されるようにネットリスト31が修正されてもよい。更に、該スキャンフリップフロップが直列に接続されてスキャンチェーン12が構成されるようにネットリスト31の記述が修正される。図1の半導体集積回路100の例では、スキャンフリップフロップSFF11〜SFF14が直列に接続されてスキャンチェーン12が構成され、スキャンフリップフロップSFF21〜SFF24が直列に接続されてスキャンチェーン12が構成され、スキャンフリップフロップSFF31〜SFF34が直列に接続されてスキャンチェーン12が構成され、スキャンフリップフロップSFF41〜SFF44が直列に接続されてスキャンチェーン12が構成されるようにネットリスト31が修正される。加えて、展開回路11及び圧縮回路13が挿入されるようにネットリスト31が修正される。
ステップS02では、一の設定についてデータ入力に接続されている回路から不定値をキャプチャするスキャンフリップフロップが抽出される。上記のように、スキャンフリップフロップSFFがデータ入力DATAから不定値をキャプチャする例としては、該回路がブラックボックスとして扱われるマクロである場合、該回路の出力値が不定値であるように設定される場合、データ入力DATAがスキャンチェーンに属していないフリップフロップのデータ出力に接続されている場合、データ入力DATAへのパスが設定によりフォールスパス又はマルチサイクルパスとして指定される場合が挙げられる。
ステップS02において、一の設定について、データ入力に接続されている回路から不定値をキャプチャする可能性があるスキャンフリップフロップが抽出されてもよい。一実施形態では、一の設定について100%の確率でデータ入力に接続されている回路から不定値をキャプチャするスキャンフリップフロップに加え、一の設定についてデータ入力に接続されている回路から不定値をキャプチャする確率が0%ではないが100%未満であるスキャンフリップフロップを抽出してもよい。図1、図2、図6、図7、図8に示す半導体集積回路100、100Aを設計する場合には、100%の確率でデータ入力に接続されている回路から不定値をキャプチャするスキャンフリップフロップを抽出してもよい。図9、図10に図示されているような半導体集積回路100Bが設計される場合には、100%の確率でデータ入力に接続されている回路から不定値をキャプチャするスキャンフリップフロップに加え、データ入力に接続されている回路から不定値をキャプチャする確率が0%ではないが100%未満であるスキャンフリップフロップを抽出してもよい。
ステップS03では、展開回路11、スキャンチェーン12及び圧縮回路13が挿入されたネットリスト31に対してスキャン制御回路部16、26を挿入する処理が行われ、圧縮スキャン回路付きネットリスト32が生成される。スキャン制御回路部16、26の挿入は、ステップS02で抽出されたスキャンフリップフロップSFFを、キャプチャモードにおいて、スキャン入力に入力された値をキャプチャするように制御することができるように行われる。ステップS03において得られる圧縮スキャン回路付きネットリスト32は、図1、図2、図6、図7、図8に示す半導体集積回路100、100A、又は、図9、図10に示す半導体集積回路100Bに含まれる回路素子及びその接続関係を記述している。
一実施形態では、ネットリスト31の記述が、ステップS02で抽出されたスキャンフリップフロップSFFのスキャンイネーブル端子SEにスキャンモード信号SCAN_MODEが供給されるように、圧縮スキャン回路付きネットリスト32が生成される。
他の実施形態では、ステップS02で抽出されたスキャンフリップフロップSFFのスキャンイネーブル端子SEに、セレクタによってスキャンモード信号SCAN_MODEとスキャンイネーブル信号SCAN_ENのうちから選択された信号が供給されるように圧縮スキャン回路付きネットリスト32が生成される。例えば図7の半導体集積回路100Aの例では、スキャンフリップフロップSFF22のスキャンイネーブル端子SEにスキャンモード信号SCAN_MODEが供給され、スキャンフリップフロップSFF23のスキャンイネーブル端子SEにセレクタ26cの出力が接続されるように圧縮スキャン回路付きネットリスト32が生成される。
更に他の実施形態では、100%の確率でデータ入力に接続されている回路から不定値をキャプチャするスキャンフリップフロップのスキャンイネーブル端子SEにスキャンモード信号SCAN_MODEが供給され、データ入力に接続されている回路から不定値をキャプチャする確率が0%ではないが100%未満であるスキャンフリップフロップのスキャンイネーブル端子SEに、セレクタによってスキャンモード信号SCAN_MODEとスキャンイネーブル信号SCAN_ENのうちから選択された信号が供給されるように圧縮スキャン回路付きネットリスト32が生成される。例えば、図9の半導体集積回路100Bの例では、スキャンフリップフロップSFF22のスキャンイネーブル端子SEにスキャンモード信号SCAN_MODEが供給され、スキャンフリップフロップSFF23のスキャンイネーブル端子SEにセレクタ26cの出力が接続されるように圧縮スキャン回路付きネットリスト32が生成される。
このようにして生成された圧縮スキャン回路付きネットリスト32は、記憶装置41に格納される。
ステップS04では、圧縮スキャン回路付きネットリスト32に基づいて、半導体集積回路100、100A、100Bのテストに用いられるテストパタン35が生成される。テストパタン35の生成においては、ライブラリ36とパタン設定ファイル37とが参照される。テストパタン35の生成は、プロセッサ42がパタン生成ツール41bを実行することによって行われ、生成されたテストパタン35は、記憶装置41に格納される。
半導体集積回路100、100A、100Bは、ステップS01、S02、S03で作成された圧縮スキャン回路付きネットリスト32から生成されたレイアウトデータに基づいて製造される。この製造工程において行われるスキャンテストにおいて、ステップS04で作成されたテストパタン35が用いられる。
なお、ステップS01の処理(即ち、展開回路11、スキャンチェーン12及び圧縮回路13を挿入する処理)を行う前に、ステップS02の処理(即ち、データ入力に接続された回路から不定値をキャプチャするスキャンフリップフロップ、又は、不定値をキャプチャする可能性があるスキャンフリップフロップを抽出する処理)を行ってもよい。
以上には、本開示の様々な実施形態が具体的に記載されているが、本開示に記載された技術は、様々な変更と共に実施され得る。
100、100A、100B:半導体集積回路
11 :展開回路
12〜12:スキャンチェーン
13 :圧縮回路
13a、13b、13c:XOR回路
14 :スキャンイン端子
15 :スキャンアウト端子
16 :スキャン制御回路部
16a :スキャンイネーブル信号線
16b :スキャンモード信号線
17 :回路
26 :スキャン制御回路部
26a :スキャンイネーブル信号線
26b :スキャンモード信号線
26c :セレクタ
31 :ネットリスト
32 :圧縮スキャン回路付きネットリスト
33 :ライブラリ
34 :スキャン設定ファイル
35 :テストパタン
36 :ライブラリ
37 :パタン設定ファイル
40 :集積回路設計装置
41 :記憶装置
41a :圧縮スキャン挿入ツール
41b :パタン生成ツール
42 :プロセッサ
43 :入出力装置
44 :コンピュータ読み取り可能記憶媒体
SFF11〜SFF14、SFF21〜SFF24、SFF31〜SFF34、SFF41〜SFF44、SFF51、SFF61:スキャンフリップフロップ
FF11、FF12:フリップフロップ

Claims (20)

  1. スキャン入力とデータ入力とを有するスキャンフリップフロップと、
    キャプチャモードにおいて、前記スキャンフリップフロップが前記スキャン入力に入力された値をキャプチャするように前記スキャンフリップフロップを制御可能に構成されたスキャン制御回路部
    とを備える
    半導体集積回路。
  2. 前記スキャン制御回路部が、スキャンテストが行われるときにアサートされる制御信号を前記スキャンフリップフロップのスキャンイネーブル端子に供給可能に構成された
    請求項1に記載の半導体集積回路。
  3. 前記スキャン制御回路部が、スキャンモード信号を前記スキャンフリップフロップのスキャンイネーブル端子に供給可能に構成された
    請求項2に記載の半導体集積回路。
  4. 前記スキャン制御回路部が、前記スキャンフリップフロップのスキャンイネーブル端子に、前記スキャンモード信号とスキャンイネーブル信号とを選択的に供給可能に構成された
    請求項3に記載の半導体集積回路。
  5. 前記スキャン制御回路部が、前記スキャンフリップフロップのスキャンイネーブル端子に、スキャンテストの種類に応じて前記スキャンモード信号とスキャンイネーブル信号とを選択的に供給可能に構成された
    請求項4に記載の半導体集積回路。
  6. 前記スキャン制御回路部が、前記スキャンフリップフロップのスキャンイネーブル端子に、当該半導体集積回路の外部から供給される制御信号に応じて前記スキャンモード信号とスキャンイネーブル信号とを選択的に供給可能に構成された
    請求項4に記載の半導体集積回路。
  7. 更に、
    前記スキャンフリップフロップを含むスキャンチェーンを含む複数のスキャンチェーンと、
    圧縮テストデータを展開して前記複数のスキャンチェーンに供給するテストデータを生成する展開回路部と、
    前記複数のスキャンチェーンから出力される出力応答に基づいて圧縮テスト結果データを生成する圧縮回路部
    とを備える
    請求項1に記載の半導体集積回路。
  8. コンピュータが、少なくとも一のスキャンフリップフロップが、キャプチャモードにおいて、スキャン入力に入力された値をキャプチャ可能であるように、前記スキャンフリップフロップを含む半導体集積回路のネットリストを生成することを含む
    半導体集積回路の設計方法。
  9. 前記ネットリストが、スキャンテストが行われるときにアサートされる制御信号を前記スキャンフリップフロップのスキャンイネーブル端子に供給可能であるように生成される
    請求項8に記載の半導体集積回路の設計方法。
  10. 前記ネットリストが、前記スキャンフリップフロップのスキャンイネーブル端子に、スキャンモード信号とスキャンイネーブル信号とを選択的に供給可能であるように生成される
    請求項9に記載の半導体集積回路の設計方法。
  11. 前記ネットリストが、前記スキャンフリップフロップのスキャンイネーブル端子に、スキャンテストの種類に応じて前記スキャンモード信号とスキャンイネーブル信号とを選択的に供給可能であるように生成される
    請求項10に記載の半導体集積回路の設計方法。
  12. 前記ネットリストが、前記スキャンフリップフロップのスキャンイネーブル端子に、前記半導体集積回路の外部から供給される制御信号に応じて前記スキャンモード信号とスキャンイネーブル信号とを選択的に供給可能であるように生成される
    請求項10に記載の半導体集積回路の設計方法。
  13. 前記ネットリストを生成することは、
    前記コンピュータが、一の設定について、データ入力に接続された回路から不定値をキャプチャするスキャンフリップフロップを抽出することと、
    抽出された前記スキャンフリップフロップのスキャンイネーブル端子に、スキャンモード信号が供給可能であるように前記ネットリストを生成することを含む
    請求項8に記載の半導体集積回路の設計方法。
  14. 前記ネットリストを生成することは、
    前記コンピュータが、一の設定について、データ入力に接続された回路から不定値をキャプチャする可能性があるスキャンフリップフロップを抽出することと、
    抽出された前記スキャンフリップフロップのスキャンイネーブル端子に、スキャンモード信号が供給可能であるように前記ネットリストを生成することを含む
    請求項8に記載の半導体集積回路の設計方法。
  15. 前記ネットリストを生成するステップは、
    前記スキャンフリップフロップを含むスキャンチェーンを含む複数のスキャンチェーンと、圧縮テストデータを展開して前記複数のスキャンチェーンに供給するテストデータを生成する展開回路部と、前記複数のスキャンチェーンから出力される出力応答に基づいて圧縮テスト結果データを生成する圧縮回路部とを挿入するステップ
    とを含む
    請求項8に記載の半導体集積回路の設計方法。
  16. 少なくとも一のスキャンフリップフロップが、キャプチャモードにおいて、スキャン入力に入力された値をキャプチャ可能であるように、前記スキャンフリップフロップを含む半導体集積回路のネットリストを生成するステップを、コンピュータに実行させる
    プログラム。
  17. 前記ネットリストが、スキャンテストが行われるときにアサートされる制御信号を前記スキャンフリップフロップのスキャンイネーブル端子に供給可能であるように生成される
    請求項16に記載のプログラム。
  18. 前記ネットリストを生成することは、
    前記コンピュータが、一の設定について、データ入力に接続された回路から不定値をキャプチャするスキャンフリップフロップを抽出することと、
    抽出された前記スキャンフリップフロップのスキャンイネーブル端子に、スキャンモード信号が供給可能であるように前記ネットリストを生成することを含む
    請求項16に記載のプログラム。
  19. 前記ネットリストを生成することは、
    前記コンピュータが、一の設定について、データ入力に接続された回路から不定値をキャプチャする可能性があるスキャンフリップフロップを抽出することと、
    抽出された前記スキャンフリップフロップのスキャンイネーブル端子に、スキャンモード信号が供給可能であるように前記ネットリストを生成することを含む
    請求項16に記載のプログラム。
  20. 請求項16乃至19のいずれか1項に記載のプログラムを記憶する記憶媒体。
JP2018031360A 2018-02-23 2018-02-23 半導体集積回路、その設計方法、プログラム及び記憶媒体 Active JP7169044B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2018031360A JP7169044B2 (ja) 2018-02-23 2018-02-23 半導体集積回路、その設計方法、プログラム及び記憶媒体
US16/279,131 US11005458B2 (en) 2018-02-23 2019-02-19 Semiconductor integrated circuit adapted to scan testing, and method of designing the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2018031360A JP7169044B2 (ja) 2018-02-23 2018-02-23 半導体集積回路、その設計方法、プログラム及び記憶媒体

Publications (3)

Publication Number Publication Date
JP2019145048A true JP2019145048A (ja) 2019-08-29
JP2019145048A5 JP2019145048A5 (ja) 2021-04-01
JP7169044B2 JP7169044B2 (ja) 2022-11-10

Family

ID=67684080

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018031360A Active JP7169044B2 (ja) 2018-02-23 2018-02-23 半導体集積回路、その設計方法、プログラム及び記憶媒体

Country Status (2)

Country Link
US (1) US11005458B2 (ja)
JP (1) JP7169044B2 (ja)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021146382A1 (en) * 2020-01-16 2021-07-22 Lightmatter, Inc. Pin sharing for photonic processors
JP7305583B2 (ja) * 2020-03-05 2023-07-10 株式会社東芝 半導体集積回路

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008224238A (ja) * 2007-03-08 2008-09-25 Ricoh Co Ltd 半導体集積回路、半導体集積回路設計支援装置、及び半導体集積回路製造方法
JP2013224917A (ja) * 2012-03-22 2013-10-31 Renesas Electronics Corp スキャンテスト回路、テストパタン生成制御回路及びスキャンテスト制御方法
US8700962B2 (en) * 2012-07-27 2014-04-15 Lsi Corporation Scan test circuitry configured to prevent capture of potentially non-deterministic values
JP2014224725A (ja) * 2013-05-15 2014-12-04 富士通セミコンダクター株式会社 半導体集積回路
JP2017062222A (ja) * 2015-09-24 2017-03-30 ルネサスエレクトロニクス株式会社 テストポイント回路、シーケンシャルテスト用スキャンフリップフロップ、半導体装置及び設計装置

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7032148B2 (en) * 2003-07-07 2006-04-18 Syntest Technologies, Inc. Mask network design for scan-based integrated circuits
US10078114B2 (en) * 2015-09-24 2018-09-18 Renesas Electronics Corporation Test point circuit, scan flip-flop for sequential test, semiconductor device and design device
TWI646845B (zh) * 2016-05-19 2019-01-01 晨星半導體股份有限公司 條件式存取晶片、其內建自我測試電路及測試方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008224238A (ja) * 2007-03-08 2008-09-25 Ricoh Co Ltd 半導体集積回路、半導体集積回路設計支援装置、及び半導体集積回路製造方法
JP2013224917A (ja) * 2012-03-22 2013-10-31 Renesas Electronics Corp スキャンテスト回路、テストパタン生成制御回路及びスキャンテスト制御方法
US8700962B2 (en) * 2012-07-27 2014-04-15 Lsi Corporation Scan test circuitry configured to prevent capture of potentially non-deterministic values
JP2014224725A (ja) * 2013-05-15 2014-12-04 富士通セミコンダクター株式会社 半導体集積回路
JP2017062222A (ja) * 2015-09-24 2017-03-30 ルネサスエレクトロニクス株式会社 テストポイント回路、シーケンシャルテスト用スキャンフリップフロップ、半導体装置及び設計装置

Also Published As

Publication number Publication date
JP7169044B2 (ja) 2022-11-10
US11005458B2 (en) 2021-05-11
US20190267973A1 (en) 2019-08-29

Similar Documents

Publication Publication Date Title
JP3851782B2 (ja) 半導体集積回路及びそのテスト方法
US11120187B1 (en) Semiconductor integrated circuit, circuit designing apparatus, and circuit designing method
US20160349318A1 (en) Dynamic Clock Chain Bypass
US10496771B2 (en) Semiconductor apparatus and design apparatus
JP6654456B2 (ja) テストポイント回路、シーケンシャルテスト用スキャンフリップフロップ、半導体装置及び設計装置
JP4482622B2 (ja) 変換装置、変換方法、変換方法をコンピュータに実行させることが可能なプログラム、及び、このプログラムを記録した記録媒体
JP7169044B2 (ja) 半導体集積回路、その設計方法、プログラム及び記憶媒体
JP2009216619A (ja) 半導体集積回路装置
US11397841B2 (en) Semiconductor integrated circuit, circuit designing apparatus, and circuit designing method
US20160188772A1 (en) Method of designing an integrated circuit and computing system for designing an integrated circuit
US10078114B2 (en) Test point circuit, scan flip-flop for sequential test, semiconductor device and design device
JP5179861B2 (ja) 半導体装置
CN113609804B (zh) 用例生成方法及装置、测试方法、可测试性设计方法
JP2019145048A5 (ja)
JP2009122009A (ja) テスト回路
JP2017059185A (ja) スキャンテスト回路及びスキャンテスト装置
JP2001004710A (ja) スキャンテスト回路、自動テストパターン生成装置、スキャンテスト方法、スキャンテスト回路設計方法、自動テストパターン生成方法、スキャンテスト回路設計方法をコンピュータに実行させるプログラムを記録したコンピュータ読み取り可能な記録媒体および自動テストパターン生成方法をコンピュータに実行させるプログラムを記録したコンピュータ読み取り可能な記録媒体
US10209299B2 (en) Test apparatus and testable asynchronous circuit
JP2013088400A (ja) 半導体集積回路の検査方法および半導体集積回路
JP6083309B2 (ja) 設計支援方法、設計支援プログラム、および設計支援装置
JP2011102764A (ja) 半導体集積回路、半導体集積回路設計方法及び半導体集積回路設計プログラム
Yilmaz et al. Seed selection in LFSR-reseeding-based test compression for the detection of small-delay defects
US10354742B2 (en) Scan compression architecture for highly compressed designs and associated methods
JP4272898B2 (ja) 半導体テスト回路及びそのテスト方法
JP2006308302A (ja) マスク回路及びマスク制御回路並びにマスク方法

Legal Events

Date Code Title Description
RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20191209

A761 Written withdrawal of application

Free format text: JAPANESE INTERMEDIATE CODE: A761

Effective date: 20200720

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210216

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210216

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220224

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220316

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220613

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20221012

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20221027

R150 Certificate of patent or registration of utility model

Ref document number: 7169044

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150