JP2019117680A - 不揮発性メモリのための負の閾値感知を用いたセンスアンプ - Google Patents

不揮発性メモリのための負の閾値感知を用いたセンスアンプ Download PDF

Info

Publication number
JP2019117680A
JP2019117680A JP2018220520A JP2018220520A JP2019117680A JP 2019117680 A JP2019117680 A JP 2019117680A JP 2018220520 A JP2018220520 A JP 2018220520A JP 2018220520 A JP2018220520 A JP 2018220520A JP 2019117680 A JP2019117680 A JP 2019117680A
Authority
JP
Japan
Prior art keywords
transistor
memory cell
discharge
switch
memory
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2018220520A
Other languages
English (en)
Other versions
JP2019117680A5 (ja
JP6672435B2 (ja
Inventor
グエン ハオ
Nguyen Hao
グエン ハオ
リー スンピル
Seungpil Lee
リー スンピル
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SanDisk Technologies LLC
Original Assignee
SanDisk Technologies LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by SanDisk Technologies LLC filed Critical SanDisk Technologies LLC
Publication of JP2019117680A publication Critical patent/JP2019117680A/ja
Publication of JP2019117680A5 publication Critical patent/JP2019117680A5/ja
Application granted granted Critical
Publication of JP6672435B2 publication Critical patent/JP6672435B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/06Auxiliary circuits, e.g. for writing into memory
    • G11C16/34Determination of programming status, e.g. threshold voltage, overprogramming or underprogramming, retention
    • G11C16/3418Disturbance prevention or evaluation; Refreshing of disturbed memory data
    • G11C16/3431Circuits or methods to detect disturbed nonvolatile memory cells, e.g. which still read as programmed but with threshold less than the program verify threshold or read as erased but with threshold greater than the erase verify threshold, and to reverse the disturbance via a refreshing programming or erasing step
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/06Auxiliary circuits, e.g. for writing into memory
    • G11C16/10Programming or data input circuits
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/06Auxiliary circuits, e.g. for writing into memory
    • G11C16/26Sensing or reading circuits; Data output circuits
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/06Sense amplifiers; Associated circuits, e.g. timing or triggering circuits
    • G11C7/067Single-ended amplifiers
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C7/00Arrangements for writing information into, or reading information out from, a digital store
    • G11C7/06Sense amplifiers; Associated circuits, e.g. timing or triggering circuits
    • G11C7/08Control thereof
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/04Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS
    • G11C16/0483Erasable programmable read-only memories electrically programmable using variable threshold transistors, e.g. FAMOS comprising cells having several storage transistors connected in series
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • G11C16/02Erasable programmable read-only memories electrically programmable
    • G11C16/06Auxiliary circuits, e.g. for writing into memory
    • G11C16/24Bit-line control circuits

Landscapes

  • Read Only Memory (AREA)

Abstract

【課題】深い負の電圧閾値領域を感知することができるメモリ回路のためのセンスアンプの技術を提供する。【解決手段】選択されたメモリセルは、メモリセルを介してソース線をビット線及びセンスアンプに放電することによって感知される。メモリセルを介してソース線をセンスアンプに放電しながら、放電経路の電圧レベルが、放電トランジスタの導電率を選択されたメモリセルの導電率に対応するレベルに設定するために使用される。次に、センスノードが放電トランジスタを介して放電される。ノイズを低減するために、デカップリングコンデンサが、放電トランジスタの制御ゲートに接続され、補助キーパー電流が、放電トランジスタを流れる。【選択図】図14

Description

本出願は、2017年11月29日に出願された米国仮特許出願第62/592,402号及び2017年12月8日に出願された米国仮特許出願第62/596,650号の利益を主張するものであり、あらゆる目的のために本明細書に参照により全体として組み込まれる。
半導体メモリは、携帯電話、デジタルカメラ、パーソナルデジタルアシスタント、電子医療機器、モバイルコンピューティングデバイス、サーバ、個体デバイス、非モバイルコンピューティングデバイス、及び他のデバイス等の様々な電子機器に広く使用されている。半導体メモリは、不揮発性メモリ又は揮発性メモリを含むことがある。不揮発性メモリにより、不揮発性メモリが電源(例えば、電池)に接続されていないときでも、情報を記憶及び保持することが可能になる。
同様に番号付けされた要素は、異なる図で共通の構成要素を指す。
メモリデバイスの機能ブロック図である。
メモリ構造の一例を描画するブロック図である。
メモリシステムの一実施形態を描画するブロック図である。
モノリシック3次元メモリ構造の一実施形態の部分斜視図である。
複数のNANDストリングの概略図である。
垂直クロスポイント構造を有する3次元メモリアレイの一部の様々な実施形態を描画する。
セル当たり3ビットの実施形態における閾値電圧分布を描画する。
プログラミング/書き込みのプロセスの一実施形態を説明するフロー図である。
共通ワード線に接続されたメモリセルにデータをプログラミングする/書き込むプロセスの一実施形態を説明するフロー図である。
プログラミング/書き込み及び検証動作中のワード線電圧を描画する。
不揮発性メモリセルからデータを読み出すプロセスの一実施形態を説明するフロー図である。
負の閾値を含む、メモリセルをプログラムすることができる閾値のウィンドウを示す。 負の閾値を含む、メモリセルをプログラムすることができる閾値のウィンドウを示す。
負の閾値電圧値を有するメモリセルを感知するためのいくつかの技術を示す。 負の閾値電圧値を有するメモリセルを感知するためのいくつかの技術を示す。 負の閾値電圧値を有するメモリセルを感知するためのいくつかの技術を示す。
図10Cに図示した技術を使用して感知動作を実施するために使用することができるセンスアンプの実施形態のいくつかの要素を示す。
図11のセンスアンプの実施形態のより詳細な表現である。
図12の実施形態を使用した感知動作の制御波形の一部の一実施形態を図示する。
図13の波形を使用して図12のセンスアンプの感知動作のプロセスの一実施形態を説明するフロー図である。
不揮発性メモリデバイスに記憶されるデータの量を増加させるために、データを、各メモリセルが1ビットより大きいデータを保持することが可能である、個々のメモリセルを複数の異なる状態にプログラムすることができる、マルチレベルセル(multi-level cell、MLC)フォーマットで記憶することができる。異なるデータ状態が異なる閾値電圧(Vt)値に対応するメモリセルでは、これは、利用可能なVt値の範囲又はウィンドウを、異なるデータ状態に対応するいくつかの範囲に分割することを含む。セル当たりにより多くの状態を記憶するためには、各状態に割り当てられるVt範囲を小さくするか、ウィンドウのサイズを大きくするか、又はその両方を行う必要がある。Vtウィンドウのサイズは、ウィンドウを更に負のVt値に拡張し、負又は正でないVt値を有する複数の状態を有することによって増加させることができる。しかしながら、これが有用であるためには、メモリデバイスは、異なる正でないVt状態を区別することが可能でなければならない。
ほとんどの標準的な感知技術及びセンスアンプ構造によって負のVt状態を感知することは多くの制限を有する。典型的な感知配置では、メモリセルの制御ゲートは、読み出し電圧によってバイアスされ、センスアンプに接続されたビット線は、メモリセルを介してソース線に放電され、ここで放電量は、メモリセルのVtに対する読み出し電圧の値によって決まる。この通常の配置では、負のVt状態の読み出しは、負の読み出し電圧を使用する。しかしながら、負の電圧は、典型的には、メモリダイで利用可能ではなく、それらの導入には複雑さが伴う。代替的に、ソース電圧を上昇させることによって負のVt状態を読み出すことができるが、このアプローチは、通常、かなり浅い負のVt範囲にしか及ぶことができない。負のVt範囲をより深く感知するために、以下では、ソースが選択されたメモリセルを介してビット線及びセンスアンプに放電され、感知動作において選択されたメモリセルを流れる電流の通常の方向を反転させる、センスアンプ構造及び技術を導入する。
より具体的には、センスアンプ構造及びセンス技術が説明され、第1の段階では、ソース線が選択されたメモリを介して対応するビット線に放電され、続いてセンスアンプに放電される。この段階で放電される電流の量は、メモリセルの導電率によって決まることになり、メモリセルの導電率がまた、選択されたメモリセルの制御ゲートに、その閾値電圧に対して供給されるワード線電圧によって決まる。放電トランジスタは、その制御ゲートが第1の段階の間にメモリセルの放電経路に接続されており、その結果、放電トランジスタの導電率は選択されたメモリセルの導電率を反映することになる。次いで、放電トランジスタの制御ゲートは、このレベルで浮動するように設定される。次に、第2の段階では、センスノードは、放電トランジスタを介して放電され、放電トランジスタの導電率が選択されたメモリセルの導電率を反映するので、センスノードが放電する速度は、メモリセルの導電率を反映する。感知期間にセンスノードを放電させた後、センスノードのレベルは読み出し結果に対してラッチされる。
感知動作の精度を向上させるために、素子をノイズレベルを低減することができるセンスアンプに含めることができる。段階間の遷移時に放電トランジスタの制御ゲートのノイズを低減するために、デカップリングコンデンサを制御ゲートに接続することができる。コンデンサを、温度等の動作条件及びデバイス処理のばらつきに合わせてバイアスすることもできる。放電トランジスタのソースノードのノイズを低減するために、補助キーパー電流を、段階間の遷移中に放電トランジスタを介して供給し、続いてセンスノード放電段階に入ることができる。
図1A〜図5は、本明細書で提案される技術を実装するために使用することができるメモリシステムの例を記載する。図1Aは、例示的メモリシステム100の機能ブロック図である。一実施形態では、図1Aに描画される構成要素は電気回路である。メモリシステム100は、1つ以上のメモリダイ108を含む。1つ以上のメモリダイ108は、完全なメモリダイ又は部分的なメモリダイであり得る。一実施形態では、各メモリダイ108は、メモリ構造126、制御回路110、及び読み出し/書き込み回路128を含む。メモリ構造126は、行デコーダ124を介してワード線によりアドレス指定可能であり、列デコーダ132を介してビット線によりアドレス指定可能である。行デコーダ124は、異なるメモリ動作のためにワード線をバイアスするドライバ及び他の要素を含むことができる。読み出し/書き込み回路128は、SB1、SB2、...、SBp(感知回路)を含む複数のセンスブロック150を含み、メモリセルのページが並列に読み出されるか又はプログラムされることを可能にし、ページは、データが書き込まれ及び/又は読み出される単位である。物理ページは、データが同時に書き込まれ及び/又は読み出され得る多数のセルの物理単位であり、論理ページは、物理ページに書き込まれた対応する論理単位のデータである。SB1、SB2、...、SBpを含むセンスブロック150で使用することができるセンスアンプ回路の詳細を、図11〜図14に関して以下に提供する。
一部のシステムでは、コントローラ122は、1つ以上のメモリダイ108と同じパッケージ(例えば、リムーバブルストレージカード)に含まれる。しかしながら、他のシステムでは、コントローラはメモリダイ108から分離することができる。一部の実施形態では、コントローラはメモリダイ108とは異なるダイにあることになる。一部の実施形態では、1つのコントローラ122は、複数のメモリダイ108と通信することになる。他の実施形態では、各メモリダイ108はそれ自体のコントローラを有する。コマンド及びデータは、データバス120を介してホスト140とコントローラ122との間で、また、線118を介してコントローラ122と1つ以上のメモリダイ108との間で伝送される。一実施形態では、メモリダイ108は、線118に接続する1組の入力及び/又は出力(input and/or output、I/O)ピンを含む。
制御回路110は、読み出し/書き込み回路128と協働して、メモリ構造126でメモリ動作(例えば、書き込み、読み出し等)を実施し、ステートマシン112、オンチップアドレスデコーダ114、及び電力制御回路116を含む。ステートマシン112は、メモリ動作のダイレベル制御を提供する。一実施形態では、ステートマシン112は、ソフトウェアによってプログラム可能である。他の実施形態では、ステートマシン112は、ソフトウェアを使用せず、ハードウェア(例えば電気回路)内に完全に実装される。他の実施形態では、ステートマシン112をプログラム可能なマイクロコントローラに置き換えることができる。制御回路110はまた、レジスタ、ROMヒューズ、並びにベース電圧及び他のパラメータな等のデフォルト値を記憶するための他の記憶デバイス等のバッファも含む。
オンチップアドレスデコーダ114は、ホスト140又はコントローラ122によって使用されるアドレスと、デコーダ124及び132によって使用されるハードウェアアドレスとの間のアドレスインターフェースを提供する。電力制御モジュール116は、メモリ動作中にワード線及びビット線に供給される電力及び電圧を制御する。電力制御モジュール116は、電圧を生成するための電荷ポンプを含み得る。センスブロックは、ビット線ドライバを含む。
図2に描画される他の回路の全て又は一部と組み合せたステートマシン112及び/又はコントローラ122(又は同等に機能する回路)は、本明細書に記載する機能を実施する制御回路であるとみなすことができる。制御回路は、ハードウェアのみ、又はハードウェアとソフトウェア(ファームウェアを含む)との組み合せを含むことができる。例えば、本明細書に記載する機能を実施するためにファームウェアによってプログラムされたコントローラは、制御回路の一例である。制御回路は、プロセッサ、FGA、ASIC、集積回路、又は他の種類の回路を含むことができる。
(オンチップ又はオフチップの)コントローラ122(一実施形態では電気回路である)は、1つ以上のプロセッサ122c、ROM 122a、RAM 122b、メモリインターフェース(memory interface、MI)122d、及びホストインターフェース(host interface、HI)122eを含んでもよく、これらの全ては、相互接続されている。記憶デバイス(ROM 122a、RAM 122b)は、1組の命令(ファームウェアを含む)等のコード(ソフトウェア)を記憶し、1つ以上のプロセッサ122cは、この1組の命令を実行して本明細書に記載する機能を提供するように動作可能である。代替的に又は追加的に、1つ以上のプロセッサ122cは、1つ以上のワード線に接続されたメモリセルの予約領域等の、メモリ構造内の記憶デバイスからコードにアクセスすることができる。RAM 122bは、キャッシュするプログラムデータ(後述する)を含む、コントローラ122のためのデータを記憶することができる。ROM 122a、RAM 122b及びプロセッサ122cと通信するメモリインターフェース122dは、コントローラ122と1つ以上のメモリダイ108との間の電気的インターフェースを提供する電気回路である。例えば、メモリインターフェース122dは、信号のフォーマット又はタイミングを変更すること、バッファを提供すること、サージから隔離すること、I/Oをラッチすること等が可能である。1つ以上のプロセッサ122cは、メモリインターフェース122dを介して、制御回路110(又はメモリダイ108の別の構成要素)にコマンドを発することができる。ホストインターフェース122eは、ホスト140からコマンド、アドレス及び/又はデータを受信して、ホスト140にデータ及び/又はステータスを提供するために、ホスト140データバス120との電気的インターフェースを提供する。
一実施形態では、メモリ構造126は、ウェハ等の単一の基板上に複数のメモリレベルが形成される不揮発性メモリセルの3次元メモリアレイを含む。メモリ構造は、シリコン(又は他のタイプの)基板上に配置された活性領域を有するメモリセルのアレイの1つ以上の物理レベルに、モノリシックに形成される任意のタイプの不揮発性メモリを含み得る。一例では、不揮発性メモリセルは、例えば米国特許第9,721,662号に記載されているような電荷トラップ材料を有する垂直NANDストリングを含み、その全体が参照により本明細書に組み込まれる。
別の実施形態では、メモリ構造126は、不揮発性メモリセルの2次元メモリアレイを含む。一例では、不揮発性メモリセルは、例えば米国特許第9,082,502号に記載されているような浮動ゲートを利用するNANDフラッシュメモリセルであり、その全体が参照により本明細書に組み込まれる。他のタイプのメモリセル(例えば、NOR型フラッシュメモリ)も使用することができる。
メモリ構造126に含まれるメモリアレイアーキテクチャ又はメモリセルの正確な種類は、上記の例に限定されない。多くの異なる種類のメモリアレイアーキテクチャ又はメモリ技術を使用して、メモリ構造126を形成することができる。本明細書で提案された新たに特許請求される実施形態の目的には、特定の不揮発性メモリ技術は必要とされない。メモリ構造126のメモリセルに適した技術の他の例として、ReRAMメモリ、磁気抵抗メモリ(例えば、MRAM、スピントランスファートルクMRAM、スピン軌道トルクMRAM)、位相変化メモリ(例えばPCM)等が挙げられる。メモリ構造126のメモリセルアーキテクチャに適した技術の例として、2次元アレイ、3次元アレイ、クロスポイントアレイ、積層型2次元アレイ、垂直ビット線アレイ等が挙げられる。
ReRAM又はPCMRAM、クロスポイントメモリの一例として、X線及びY線(例えば、ワード線及びビット線)によってアクセスされるクロスポイントアレイに配置された可逆抵抗スイッチング素子が挙げられる。別の実施形態では、メモリセルは、導電性ブリッジメモリ素子を含み得る。導電性ブリッジメモリ素子はまた、プログラム可能なメタライゼーションセルと呼ばれ得る。導電性ブリッジメモリ素子は、固体電解質内のイオンの物理的再配置に基づく状態変化素子として使用され得る。場合によっては、導電性ブリッジメモリ素子は、2つの電極間に固体電解質薄膜を有する、2つの固体金属電極を含んでもよく、一方は、比較的不活性であり(例えば、タングステン)、他方は、他の電気化学的に活性である(例えば、銀又は銅)。温度が上昇すると、イオンの移動度も増加し、導電性ブリッジメモリセルのプログラミング閾値が低下する。したがって、導電性ブリッジメモリ素子は、温度に対して広範囲のプログラミング閾値を有し得る。
磁気抵抗メモリ(Magnetoresistive memory、MRAM)は、磁気記憶素子によってデータを記憶する。素子は、各々が薄い絶縁層によって分離された磁化を保持することができる、2つの強磁性プレートから形成される。2つのプレートのうちの1つは、特定の極性に設定された永久磁石である。他方のプレートの磁化は、メモリを記憶するために外場の磁化と一致するように変更することができる。メモリデバイスは、このようなメモリセルのグリッドから構築される。プログラミングのための一実施形態では、各メモリセルは、互いに直角に、セルに平行に、セルの1つ上及び1つ下に配置された一対の書き込み線の間にある。電流がそれらを通過すると、誘導磁場が生成される。
位相変化メモリ(Phase change memory、PCM)は、カルコゲナイドガラス特有の挙動を利用する。一実施形態は、単にレーザパルス(又は別の光源からの光パルス)でゲルマニウム原子の調整状態を変化させることによって、非熱位相変化を達成するために、GeTe−Sb2Te3超格子を使用する。したがって、プログラミングの線量はレーザーパルスである。メモリセルは、メモリセルが光を受信することをブロックすることによって抑制され得る。本書では「パルス」の使用には四角いパルスを必要としないが、(連続的又は非連続的な)音の振動若しくはバースト、電流、電圧光、又はその他の波を含む。
当業者であれば、本明細書に記載されるこの技術は単一の特定のメモリ構造に限定されず、本明細書に記載され、当業者のうちの1人によって理解されるように、技術の趣旨及び範囲内で、多くの関連するメモリ構造をカバーすることを、理解するであろう。
図1Bは、メモリ構造126の例を描画する。一実施形態では、メモリセルのアレイは、複数の平面に分割される。図1Bの例では、メモリ構造126は、平面141及び平面142の2つの平面に分割される。他の実施形態では、2つより多いか又は少ない平面を使用することができる。一部の実施形態では、各平面は多数のメモリ消去ブロックに分割される(例えば、ブロック0〜1023、又は別の量)。あるメモリ技術(例えば、2D/3D NAND及び他の種類のフラッシュメモリ)において、メモリ消去ブロックは、消去動作のためのメモリセルの最小単位である。即ち、各消去ブロックは、単一の消去動作で共に消去される最小数のメモリセルを含む。他の消去の単位も使用することができる。本明細書で特許請求される解決策を実装する他の実施形態で使用される他のメモリ技術(例えば、MRAM、PCM等)では、メモリセルは消去動作を伴わずに上書きされるため、消去ブロックは存在しないことがある。
各メモリ消去ブロックは、多数のメモリセルを含む。メモリ消去ブロックの設計、サイズ、及び組織は、メモリ構造126のアーキテクチャ及び設計によって決まる。本明細書で使用するように、メモリ消去ブロックは、ワード線とビット線とを共有する隣接する1組のメモリセルである。例えば、図1Bの消去ブロックiは、ワード線WL0_i、WL1_i、WL2_i及びWL3_iを共有し、ビット線BL0−BL69,623を共有するメモリセルを含む。
一実施形態では、メモリ消去ブロック(ブロックiを参照)は、ビット線(例えば、ビット線BL0〜BL69,623)及びワード線(WL0、WL1、WL2、WL3)を介してアクセスされる1組のNANDストリングを含む。図1Bは、4つのメモリセルがNANDストリングを形成するように直列に接続されていることを示す。4つのセルが、各NANDストリングに含まれるように描画されるが、4つより多いか又は少ないセルを使用することができる(例えば、16個、32個、64個、128個、256個、又は別の数又はメモリセルをNANDストリングで使用することができる)。NANDストリングの一方の端子は、ドレイン選択ゲートを介して対応するビット線に接続され、他方の端子は、ソース選択ゲートを介してソース線に接続される。図1Bは、69,624のビット線を示しているが、異なる数のビット線も使用することができる。
各メモリ消去ブロック及び/又は各メモリ記憶ユニットは、典型的に、複数のページに分割される。一実施形態では、1ページは、プログラミング/書き込みの単位、及び読み出しの単位である。プログラミングの他のユニットも使用することができる。1つ以上のページのデータは、典型的には、1行のメモリセルに記憶される。例えば、1つ以上のページのデータが、共通ワード線に接続されたメモリセルに記憶されてもよい。1ページは、ユーザデータとオーバーヘッドデータ(システムデータとも呼ばれる)とを含む。オーバーヘッドデータは、典型的には、セクタのユーザデータから算出されたヘッダ情報とエラー訂正コード(Error Correction Code、ECC)とを含む。コントローラ(又は他の構成要素)は、データがアレイに書き込まれているときにECCを算出し、データがアレイから読み出されているときにECCをチェックする。一実施形態では、1ページは、共通ワード線に接続された全てのメモリセルに記憶されたデータを含む。
上で論じる例では、消去の単位は、メモリ消去ブロックであり、プログラミング及び読み出しの単位はページである。他の操作の単位も使用することができる。データは、1回に1バイト、記憶/書き込み/プログラム/読み出し又は消去される1Kバイト、512K等であり得る。本明細書に記載の特許請求される解決策には、特別な操作の単位は必要とされない。一部の例では、システムのプログラム、消去、及び読み出しは、同じ操作の単位で行う。他の実装形態において、システムのプログラム、消去、及び読み出しは、異なる操作の単位で行う。一部の例では、システムが、ゼロ及び1(又は他のデータ値)をプログラム/書き込みすることができ、これにより、以前に記憶された情報を上書きすることができるので、他の例ではシステムがプログラム/書き込みを行うだけでよいように、システムは、消去することを必要とせずにプログラム/書き込み及び消去を行う。
本明細書で使用するように、メモリ記憶ユニットは、メモリ構造126にデータを記憶/書き込み/プログラムするために、メモリ技術に対する最小の動作の記憶単位を表すメモリセルの組である。例えば、一実施形態では、メモリ記憶ユニットは、4KBのデータを保持するサイズのページである。特定の実施形態では、完全なメモリ記憶ユニットは、メモリ構造126の行を横切る物理メモリセルの数に一致するようなサイズにされる。一実施形態では、不完全なメモリ記憶ユニットは、完全なメモリ記憶ユニットより少ない物理メモリセルを有する。
図2は、コントローラ122のより詳細な一実施形態を描画する例示的メモリシステム100のブロック図である。本明細書で使用するように、フラッシュメモリコントローラは、フラッシュメモリに記憶されたデータを管理し、コンピュータ又は電子デバイス等のホストと通信するデバイスである。フラッシュメモリコントローラは、本明細書に記載する特定の機能に加えて、様々な機能を有することができる。例えば、フラッシュメモリコントローラは、フラッシュメモリをフォーマットしてメモリが適切に動作していることを確実にし、不良のフラッシュメモリセルを打ち出し、将来の故障セルと置換される予備メモリセルを割り当てることができる。予備セルの一部は、フラッシュメモリコントローラを動作させ、他の機能を実装するためのファームウェアを保持するために使用することができる。動作面では、ホストがデータをフラッシュメモリから読み出すか又はデータをフラッシュメモリに書き込む必要があるとき、ホストはフラッシュメモリコントローラと通信する。ホストがデータを読み出し/書き込むべき論理アドレスを提供する場合、フラッシュメモリコントローラは、ホストから受信される論理アドレスをフラッシュメモリの物理アドレスに変換することができる。(代替的に、ホストが物理アドレスを提供することもできる)。フラッシュメモリコントローラはまた、これだけに限定されないが、ウェアレベリング(さもなければ繰り返し書き込まれる特定のメモリブロックの消耗を回避するために書き込みを分散させること)及びガーベッジコレクション(ブロックが満杯となった後、全ブロックを消去し再利用することができるように有効なデータページのみを新たなブロックに移すこと)等の様々なメモリ管理機能も実施することができる。
コントローラ122と不揮発性メモリダイ108との間のインターフェースは、トグルモード200、400、又は800等の任意の適切なフラッシュインターフェースであってもよい。一実施形態では、メモリシステム100は、セキュアデジタル(secure digital、SD)又はマイクロセキュアデジタル(マイクロSD)カード等のカードによるシステムであってもよい。代替の実施形態では、メモリシステム100は、埋め込みメモリシステムの一部であってもよい。例えば、フラッシュメモリは、ホスト内に埋め込まれてもよい。他の例では、メモリシステム100は、個体ドライブ(solid-state drive、SSD)の形態であり得る。
一部の実施形態では、不揮発性メモリシステム100は、コントローラ122と不揮発性メモリダイ108との間に単一のチャネルを含み、本明細書に記載する主題は単一のメモリチャネルを有することに限定されない。例えば、一部のメモリシステムアーキテクチャでは、コントローラの能力に応じて、コントローラとメモリダイとの間に2、4、8、又はそれ以上のチャネルが存在してもよい。本明細書に記載する実施形態のいずれにおいても、たとえ単一のチャネルが図面に示されていたとしても、コントローラとメモリダイとの間に単一より多いチャネルが存在することがある。
図2に描画するように、コントローラ122は、ホストとインターフェースするフロントエンドモジュール208と、1つ以上の不揮発性メモリダイ108とインターフェースするバックエンドモジュール210と、本明細書で詳細に説明することになる機能を実施する様々な他のモジュールを含む。
図2に描画するコントローラ122の構成要素は、他の構成要素と共に使用するように設計されるパッケージ化された機能ハードウェアユニット(例えば、電気回路)、(マイクロ)プロセッサ若しくは関連機能の特定の機能を通常実施する処理回路によって実行可能なプログラムコード(例えば、ソフトウェア又はファームウェア)の一部、又は例えば、より大きいシステムとインターフェースする自己完結型のハードウェア若しくはソフトウェア構成要素の形態を取り得る。例えば、各モジュールは、特定用途向け集積回路(application specific integrated circuit、ASIC)、書替え可能ゲートアレイ(Field Programmable Gate Array、FPGA)、回路、デジタル論理回路、アナログ回路、ディスクリート回路の組み合せ、ゲート、他の任意の種類のハードウェア、又はそれらの組み合せを含み得る。代替的に又は追加的に、各モジュールは、本明細書に記載する機能をコントローラ122が実施するためにプロセッサをプログラムするための、プロセッサ可読デバイス(例えば、メモリ)に記憶されるソフトウェアを含んでもよい。図2に描画するアーキテクチャは、図1Aに描画したコントローラ122の構成要素(即ち、RAM、ROM、プロセッサ、インターフェース)を使用してもよい(又は使用しなくてもよい)実装形態の一例である。
コントローラ122のモジュールを再び参照し、バッファマネージャ/バスコントロール214が、ランダムアクセスメモリ(random access memory、RAM)216内のバッファを管理し、コントローラ122の内部バスの調停を制御する。読み出し専用メモリ(read only memory、ROM)218は、システム起動コードを記憶する。図2ではコントローラ122から離れて位置しているものとして図示するが、他の実施形態では、RAM 216及びROM 218の一方又は両方がコントローラ内に位置し得る。更に、他の実施形態では、RAM及びROMの一部がコントローラ122内及びコントローラ外の両方に位置し得る。更に、一部の実装形態では、コントローラ122、RAM 216、及びROM 218が別個の半導体ダイ上に位置し得る。
フロントエンドモジュール208は、ホスト又は次のレベルの記憶域コントローラとの電気的インターフェースを提供するホストインターフェース220及び物理層インターフェース(physical layer interface、PHY)222を含む。ホストインターフェース220の種類の選択は、使用されているメモリの種類によって決まり得る。ホストインターフェース220の例として、これだけに限定されないが、SATA、SATA Express、SAS、ファイバチャネル、USB、PCIe、及びNVMeが挙げられる。ホストインターフェース220は、典型的に、データ、制御信号、及びタイミング信号の転送を促進する。
バックエンドモジュール210は、ホストから受信したデータバイトを符号化し、不揮発性メモリから読み出したデータバイトを復号してエラーを訂正するエラー訂正コード(ECC)エンジン224を含む。コマンドシーケンサ226は、不揮発性メモリダイ108に伝送されるプログラムコマンドシーケンス及び消去コマンドシーケンス等のコマンドシーケンスを生成する。RAID(Redundant Array of Independent Die、独立ダイの冗長アレイ)モジュール228はRAIDパリティの生成及び障害データの回復を管理する。RAIDパリティは、不揮発性メモリシステム100内に書き込まれているデータのための完全性保護の更なるレベルとして使用してもよい。場合によっては、RAIDモジュール228がECCエンジン224の一部であり得る。RAIDパリティは、通称によって暗示されるように追加のダイとして加えてもよいが、例えば、追加の平面、追加のブロック、又はブロック内の追加のワード線として既存のダイ中に加えてもよいことに留意されたい。メモリインターフェース230は、コマンドシーケンスを不揮発性メモリダイ108に提供し、不揮発性メモリダイ108からステータス情報を受信する。一実施形態では、メモリインターフェース230は、トグルモード200、400、又は800インターフェース等のダブルデータレート(double data rate、DDR)インターフェースであり得る。フラッシュ制御層232は、バックエンドモジュール210の全体的な動作を制御する。
一実施形態は、メモリセルの書き込み及び読み出しを(メモリダイ上の回路と共に)管理するために使用することができる書き込み/読み出しマネージャ236を含む。一部の実施形態では、書き込み/読み出しマネージャ236は、後述するフロー図に描画するプロセスを実施する。
図2に図示するシステム100の追加の構成要素は、不揮発性メモリダイ108のメモリセルのウェアレベリングを実施するメディア管理層238を含む。システム100はまた、外部の電気的インターフェース、外部のRAM、抵抗、コンデンサ、又はコントローラ122とインターフェースし得る他の構成要素等の他のディスクリート構成要素240も含む。代替の実施形態では、物理層インターフェース222、RAIDモジュール228、メディア管理層238、及びバッファ管理/バスコントローラ214のうちの1つ以上が、コントローラ122内で不要である任意選択的な構成要素である。
フラッシュエラー及びホストとのインターフェースを扱い得るフラッシュ管理の一部として、フラッシュ変換層(Flash Translation Layer、FTL)又はメディア管理層(Media Management Layer、MML)238が統合され得る。具体的には、MMLはフラッシュ管理内のモジュールであってもよく、NAND管理の内部的特性に関与してもよい。具体的には、MML 238は、ホストからの書き込みをダイ108のメモリ構造126への書き込みに変換するメモリデバイスファームウェア内のアルゴリズムを含み得る。MML 238は、1)メモリの耐久性が限られている場合があること、2)メモリ構造126がページの倍数単位でのみ書き込むことができること、及び/又は3)メモリ構造126はブロックとして消去されない限り書き込むことができないことを理由に必要とされ得る。MML 238は、ホストにとって可視でない可能性があるメモリ構造126のこれらの潜在的制約を理解する。したがって、MML 238は、ホストからの書き込みをメモリ構造126内への書き込みに変換しようと試みる。後述するように、MML 238を使用して不安定ビットを識別及び記録してもよい。この不安定ビットの記録は、ブロック及び/又はワード線(ワード線上のメモリセル)の正常性を評価するために使用することができる。
コントローラ122は、1つ以上のメモリダイ108とインターフェースし得る。一実施形態では、コントローラ122及び複数のメモリダイ(共に不揮発性記憶システム100を含む)が、固体ドライブ(SSD)を実装し、SSDは、NASデバイス等として、ラップトップ、タブレット、サーバ等のホスト内のハードディスクドライブをエミュレートし、置換し、又はその代わりに使用され得る。加えて、SSDはハードドライブとして機能するように作られなくてもよい。
不揮発性記憶システムのうちの一部の実施形態は、1つのコントローラ122に接続された1つのメモリダイ108を含むことになる。しかし、他の実施形態は、1つ以上のコントローラ122と通信する複数のメモリダイ108を含み得る。一例では、複数のメモリダイをメモリパッケージの組にグループ化することができる。各メモリパッケージは、コントローラ122と通信する1つ以上のメモリダイを含む。一実施形態では、メモリパッケージは、1つ以上のメモリダイが搭載されたプリント回路基板(又は同様の構造)を含む。一部の実施形態では、メモリパッケージは、メモリパッケージのメモリダイを収容するための成形材料を含むことができる。一部の実施形態では、コントローラ122は、メモリパッケージのいずれかから物理的に分離されている。
図3は、複数のメモリセルを含む、モノリシック3次元メモリ構造126の例示的な一実施形態の一部の斜視図である。例えば、図3は、1つのメモリのブロックの一部を示している。描画される構造は、交互の誘電体層及び導電層のスタックの上に位置決めされた1組のビット線BLを含む。例えば、誘電体層のうちの1つがDとしてマークされ、導電層(ワード線層とも呼ばれる)のうちの1つがWとしてマークされる。交互の誘電体層及び導電層の数は、特定の実装要件に基づいて変化し得る。1組の実施形態は、108〜216個の交互の誘電体層と導電層との間に、例えば96個のデータワード線層、8個の選択層、4個のダミーワード線層、及び108個の誘電体層を含む。108〜216個よりも多いか又は少ない層を使用することもできる。以下に説明するように、交互の誘電体層及び導電層は、ローカルインターコネクトLI(アイソレーション領域)によって、4つの「フィンガ」に分割される。図3は、2つのフィンガ及び2つのローカルインターコネクトLIのみを示している。以下、交互の誘電体層及びワード線層は、ソース線層SLである。メモリホールは、交互の誘電体層及び導電層のスタック内に形成される。例えば、メモリホールの1つはMHとしてマークされる。図3では、誘電体層がシースルーとして描画されているので、読者は交互の誘電体層及び導電層のスタックに位置決めされたメモリホールを見ることができる。一実施形態では、メモリセルの垂直列を生成するために、メモリホールを電荷トラップ層を含む材料で充填することによって、NANDストリングが形成される。各メモリセルは、1つ以上のビットのデータを記憶することができる。3次元モノリシックメモリ構造126の更なる詳細は、図4に関して提供される。
図4は、例示的3D NAND構造を描画し、ブロック全体にわたって走る物理的ワード線WLL0〜WLL47を示す。図4の構造は、ビット線311、312、313、314、...、319を含む、図1Bのブロックのうちの1つの部分に対応することができる。ブロック内では、各ビット線は4つのNANDストリングに接続される。ドレイン側選択線SGD0、SGD1、SGD2、及びSGD3は、4つのNANDストリングのうちのどれが関連するビット線に接続するかを決定するために使用される。ブロックはまた、4つのサブブロックSB0、SB1、SB2、及びSB3に分割されていると考えることができる。サブブロックSB0は、SGD0及びSGS0によって制御されるそれらの垂直NANDストリングに対応し、サブブロックSB1は、SGD1及びSGS1によって制御されるそれらの垂直NANDストリングに対応し、サブブロックSB2は、SGD2及びSGS2によって制御されるそれらの垂直NANDストリングに対応し、サブブロックSB3は、SGD3及びSGS3によって制御されるそれらの垂直NANDストリングに対応する。
図5は、図1Aの構造126に使用することができる別のメモリ構造を示す。図5は、3次元垂直クロスポイント構造を図示し、ワード線は依然として水平方向に走り、ビット線は垂直方向に走るように配向されている。
図5は、第2のメモリレベル410の下に位置する第1のメモリレベル412を含む、モノリシック3次元メモリアレイ構造126の一部の一実施形態を描画する。描画するように、ローカルビット線LBL11〜LBL33は、第1の方向(即ち、垂直方向)に配置され、ワード線WL10〜WL23は第1の方向と直交する第2の方向に配置される。モノリシック3次元メモリアレイにおける垂直ビット線のこの配置は、垂直ビット線メモリアレイの一実施形態である。描画するように、各ローカルビット線と各ワード線との交差部の間には、特定のメモリセルが配置されている(例えば、メモリセルM111がローカルビット線LBL11とワード線WL10との間に配置されている)。この構造は、多数の異なるメモリセル構造と共に使用することができる。一例では、特定のメモリセルは、浮動ゲートデバイス又は電荷トラップデバイス(例えば、窒化シリコン材料を使用する)を含んでもよい。別の例では、特定のメモリセルは、可逆抵抗スイッチング材料、金属酸化物、位相変化メモリ(PCM)材料、又はReRAM材料を含んでもよい。グローバルビット線GBL〜GBLは、第1の方向及び第2の方向の両方に垂直である、第3の方向に配置される。垂直薄膜トランジスタ(vertical thin film transistor、VTFT)等の1組のビット線選択デバイス(例えば、Q11〜Q31)を使用して、1組のローカルビット線(例えば、LBL11〜LBL31)を選択してもよい。描画するように、ビット線選択デバイスQ11〜Q31は、ローカルビット線LBL11〜LBL31を選択し、列選択線SGを使用して、ローカルビット線LBL11〜LBL31をグローバルビット線GBL〜GBLに接続するために使用される。同様に、ビット線選択デバイスQ12〜Q32は、行選択線SGを使用してローカルビット線LBL12〜LBL32をグローバルビット線GBL〜GBLに選択的に接続するために使用され、ビット線選択デバイスQ13〜Q33は、行選択線SGを使用してローカルビット線LBL13〜LBL33をグローバルビット線GBL〜GBLに選択的に接続するために使用される。
図5を参照すると、ローカルビット線ごとに単一のビット線選択デバイスのみが使用されるので、特定のグローバルビット線の電圧のみが、対応するローカルビット線に印加され得る。したがって、第1の組のローカルビット線(例えば、LBL11〜LBL31)がグローバルビット線GBL〜GBLにバイアスされる場合、他のローカルビット線(例えば、LBL12〜LBL32及びLBL13〜LBL33)は、同じグローバルビット線GBL〜GBLに駆動されるか、又は浮動されるかのいずれかでなければならない。一実施形態では、メモリ動作中、メモリアレイ内の全てのローカルビット線は、グローバルビット線の各々を1つ以上のローカルビット線に接続することによって、まず選択されていないビット線電圧にバイアスされる。ローカルビット線が選択されていないビット線電圧にバイアスされた後、第1の組のローカルビット線LBL11〜LBL31のみがグローバルビット線GBL〜GBLを介して1つ以上の選択されたビット線電圧にバイアスされ、他方のローカルビット線(例えば、LBL12〜LBL32及びLBL13〜LBL33)が浮動される。1つ以上の選択されたビット線電圧は、例えば、読み出し動作中の1つ以上の読み出し電圧、又はプログラミング動作中の1つ以上のプログラミング電圧に対応し得る。
上述したメモリシステムは、消去、プログラム/書き込み及び読み出しが可能である。良好なプログラミングプロセスの最後に、適切な場合には、メモリセルの閾値電圧は、プログラムされたメモリセルの閾値電圧の1つ以上の分布内、又は消去されたメモリセルの閾値電圧(Vts)の分布内にあるべきである。図6は、各メモリセルがマルチレベルセル(multi-level cell、MLC)フォーマットのデータの2ビット以上、この場合は3ビットのデータを記憶する場合のメモリセルアレイの例示的な閾値電圧分布を図示する。しかしながら、他の実施形態は、メモリセル当たり他のデータ容量(例えば、メモリセル当たり1、2、4、又は5ビットのデータ等)を使用し得る。図6は、8つのデータ状態に対応する8つの閾値電圧分布を示す。第1の閾値電圧分布(データ状態)S0は、消去されるメモリセルを表す。他の7つの閾値電圧分布(データ状態)S1〜S17はプログラムされるメモリセルを表し、したがってプログラムされた状態とも呼ばれる。各閾値電圧分布(データ状態)は、データビットの組に対する所定の値に対応する。メモリセルにプログラムされたデータとセルの閾値電圧レベルとの間の特定の関係は、そのセルに採用されたデータ符号化方式によって決まる。一実施形態では、データ値は、グレーコード割り当てを使用して閾値電圧範囲に割り当てられ、その結果、メモリの閾値電圧がその隣の物理状態に誤ってシフトした場合に、1ビットのみが影響を受けるようになる。
図6はまた、メモリセルからデータを読み出すための、7つの読み出し基準電圧Vr1、Vr2、Vr3、Vr4、Vr5、Vr6、及びVr7を示す。所与のメモリセルの閾値電圧がこの7つの読み出し基準電圧よりも高いか低いかを試験する(例えば、感知動作を実施する)ことによって、システムは、メモリセルがどのデータ状態(即ち、S0、S1、S2、S3、...)にあるのかを判定することができる。
図6はまた、7つの検証基準電圧Vv1、Vv2、Vv3、Vv4、Vv5、Vv6、及びVv7を示す。メモリセルをデータ状態S1にプログラムするとき、システムは、それらのメモリセルがVv1以上の閾値電圧を有するかどうかを試験する。メモリセルをデータ状態S2にプログラムするとき、システムは、メモリセルがVv2以上の閾値電圧を有するかどうかを試験する。メモリセルをデータ状態S3にプログラムするとき、システムは、メモリセルがVv3以上の閾値電圧を有するかどうかを判定する。メモリセルをデータ状態S4にプログラムするとき、システムは、それらのメモリセルがVv4以上の閾値電圧を有するかどうかを試験する。メモリセルをデータ状態S5にプログラムするとき、システムは、それらのメモリセルがVv5以上の閾値電圧を有するかどうかを試験する。メモリセルをデータ状態S6にプログラムするとき、システムは、それらのメモリセルがVv6以上の閾値電圧を有するかどうかを試験する。メモリセルをデータ状態S7にプログラムするとき、システムは、それらのメモリセルがVv7以上の閾値電圧を有するかどうかを試験する。
フルシーケンスプログラミングとして知られる一実施形態では、メモリセルを、消去されたデータ状態S0からプログラムされたデータ状態S1〜S7のいずれかに直接的にプログラムすることができる。例えば、プログラムされることになるメモリセルの母集団は、母集団の全てのメモリセルが消去されたデータ状態S0になるように、まず消去されることがある。次いで、プログラミングプロセスを使用して、メモリセルをデータ状態S1、S2、S3、S4、S5、S6、及び/又はS7に直接的にプログラムする。例えば、いくつかのメモリセルがデータ状態S0からデータ状態S1にプログラムされる一方で、他のメモリセルは、データ状態S0からデータ状態S2へプログラムされ、且つ/又はデータ状態S0からデータ状態S3にプログラムされ、以下同様である。6図の矢印は、このフルシーケンスプログラミングを表している。本明細書に記載する技術はまた、フルシーケンスプログラミング(これに限定されるわけではないが、複数の段階/フェーズのプログラミングを含む)に加えて、他の種類のプログラミングと共に使用することもできる。一部の実施形態では、データ状態S1〜S7は、重複することができ、コントローラ122は、ECCに応じて、記憶されている正しいデータを識別する。
図7Aは、コントローラ122によって実施されるプログラミングのプロセスの一実施形態を説明するフロー図である。一部の実施形態では、専用コントローラを有するのではなく、ホストがコントローラの機能を実施することができる。ステップ702において、コントローラ122は、データをプログラムするために、1つ以上のメモリダイ108に命令を送信する。ステップ704において、コントローラ122は、1つ以上のアドレスを1つ以上のメモリダイ108に送信する。1つ以上の論理アドレスは、データをどこでプログラムするかを示す。ステップ706において、コントローラ122は、プログラムされるべきデータを1つ以上のメモリダイ108に送信する。ステップ708において、コントローラ122は、1つ以上のメモリダイ108からプログラミングの結果を受信する。例示的な結果は、データが正常にプログラムされたこと、プログラミング動作が失敗したことの指示、及びデータがプログラムされたが、異なる場所でプログラムされたことの指示、又は他の結果を含む。ステップ710において、ステップ708で受信した結果に応答して、コントローラ122は、それが維持するシステム情報を更新する。一実施形態では、システムは、各ブロックのステータス情報を示すデータのテーブルを維持する。この情報は、ブロック/ワード線が開/閉される(又は部分的に開/閉される)、ブロック/ワード線が不良である等の、論理アドレスの物理アドレスへのマッピングを含み得る。
一部の実施形態では、当技術分野で公知であり、詳細に後述するように、ステップ702の前に、コントローラ122は、ホストデータ及びホストからのプログラム命令を受信し、コントローラはECCエンジン224を実行して、ホストデータからコードワードを作成することになる。これらのコードワードは、ステップ706で送信されたデータである。コントローラ122(例えば、書き込み/読み出しマネージャ236)は、メモリ内のデータをプログラミングする前にデータをスクランブルすることもできる。
図7Bは、プログラミングのためのプロセスの一実施形態を説明するフロー図である。図7Bのプロセスは、図7Aのステップに応答して(即ち、コントローラ122からの命令、データ及びアドレスに応答して)、メモリダイによって実施される。例示的な一実施形態では、図7Bのプロセスは、ステートマシン112の方向で、上述の1つ以上の制御回路(図1を参照)を使用してメモリダイ108上で実施される。図7Bのプロセスはまた、上述のフルシーケンスプログラミングを実装するために使用することもできる。図7Bのプロセスはまた、多段階プログラミングプロセスの各段階を実装するために使用することができる。
典型的には、プログラム動作中に(選択されたワード線を介して)制御ゲートに印加されるプログラム電圧は、一連のプログラムパルスとして印加される。プログラミングパルス同士の間には、1組の検証パルスが存在して検証を実施する。多くの実装では、プログラムパルスの大きさは、所定のステップサイズだけ、各連続するパルスにあわせて増加する。図7Bのステップ770において、プログラミング電圧(Vpgm)が開始量(例えば、約12〜16V又は別の適切なレベル)に初期化され、ステートマシン112によって維持されるプログラムカウンタPCが、1に初期化される。ステップ772において、プログラム信号Vpgmのプログラムパルスが、選択されたワード線(プログラミングのために選択されたワード線)に印加される。一実施形態では、同時にプログラムされるメモリセルのグループが全て、同じワード線(選択されたワード線)に接続される。選択されていないワード線は、1つ以上の昇圧電圧(例えば、約7〜11ボルト)を受け取って、当技術分野で公知の昇圧方式を実施する。メモリセルがプログラムされようとしている場合、対応するビット線が接地される。一方で、メモリセルがその現在の閾値電圧に留まろうとしている場合、対応するビット線はVddに接続されてプログラミングを阻止する。ステップ772において、プログラムパルスが、選択されたワード線に接続された全てのメモリセルに同時に印加され、その結果、選択されたワード線に接続された全てのメモリセルが同時にプログラムされる。即ち、それらのメモリセルは、同時に、又は重複する時間の間に(どちらも同時であるとみなされる)プログラムされる。このようにして、選択されたワード線に接続された全てのメモリセルは、プログラミングからロックアウトされない限り、同時にそれらの閾値電圧を変化させる。
ステップ774において、1つ以上の検証動作を実施するのに適切な検証基準電圧の組を使用して、適切なメモリセルが検証される。一実施形態では、検証プロセスは、プログラミングのために選択されたメモリセルの閾値電圧が適切な検証基準電圧に達したどうかの試験を適用することによって、実施される。
ステップ776において、全てのメモリセルがそれらのターゲット閾値電圧に達したか(合格)について判定される。そうである場合、プログラミングプロセスは完了し成功である、というのも、選択されたメモリセルの全てがそれらのターゲット状態にプログラム及び検証されたからである。ステップ778において、「合格」の状態が報告される。776において、全てのメモリセルがそれらのターゲット閾値電圧に達していない(不合格)という判定がなされた場合、プログラミングプロセスはステップ780に続く。
ステップ780において、システムは、それぞれのターゲット閾値電圧分布にまだ達していないメモリセルの数をカウントする。即ち、システムは、これまで検証プロセスに失敗したメモリセルの数をカウントする。このカウントは、ステートマシン、コントローラ、又は他の論理部品によって行うことができる。一実施形態では、センスブロックの各々が、それぞれのセルの状態(合格/不合格)を記憶することになる。一実施形態では、1つの合計カウントが存在し、これは、最後の検証ステップを失敗した現在プログラムされているメモリセルの総数を反映している。別の実施形態では、データ状態ごとに別個のカウントが維持される。
ステップ782において、ステップ780でのカウントが所定の限界値以下であるかどうかの判定がなされる。一実施形態では、所定の限界値は、メモリセルのページについての読み出しプロセスの間に、エラー訂正コード(ECC)によって訂正することができるビットの数である。失敗したメモリセルの数が所定の限界値以下である場合、プログラミングプロセスは停止することができ、「合格」の状態がステップ778で報告される。この状況では、十分な数のメモリセルが正確にプログラムされており、その結果、完全にプログラムされていない残りの僅かのメモリセルは、読み出しプロセスの間に、ECCを使用して訂正することができる。一部の実施形態では、ステップ780は、各セクタ、各ターゲットデータ状態、又は他の単位ごとに失敗したセルの数をカウントし、これらのカウント値はステップ782で個別に又は集合的に閾値と比較される。
別の実施形態では、所定の限界値は、今後の誤りを見越して、読み出しプロセスの間にECCによって訂正することができるビットの数より小さくすることができる。1ページに対する全てのメモリセルよりも少ないメモリセルをプログラムする場合、又は、1つのデータ状態のみ(又は全ての状態よりも少ない状態)に対するカウントを比較する場合、所定の限界値は、メモリセルのページの読み出しプロセスの間にECCによって訂正することができるビットの数の(比例した、又は比例しない)一部とすることができる。一部の実施形態では、限界値は予め決められていない。代わりに、限界値は、そのページについて既にカウントされた誤りの数、実施されるプログラム−消去のサイクル数、又は他の基準に基づいて変化する。
失敗したメモリセルの数が所定の限界値以上である場合、プログラミングプロセスはステップ784に進み、プログラムカウンタPCはプログラム限界値(program limit value、PL)と照合される。プログラム限界値の例としては、12、20及び30が挙げられるが、他の値を使用することもできる。プログラムカウンタPCがプログラム限界値PL以上である場合、プログラムプロセスは失敗したとみなされ、不合格の状態がステップ788で報告される。これは、プログラム故障の一例である。プログラムカウンタPCがプログラム限界値PL未満である場合、プロセスはステップ786に進み、このステップの間に、プログラムカウンタPCは1だけインクリメントされ、プログラム電圧Vpgmは次の大きさにステップアップされる。例えば、次のパルスは、1ステップサイズだけ(例えば、0.1〜0.5ボルトのステップサイズ)、前のパルスよりも大きな大きさを有する。ステップ786の後、このプロセスはステップ772にループして戻り、別のプログラムパルスが選択されたワード線に印加され、その結果、図7Bのプログラミングプロセスの別の反復(ステップ772〜786)が実施される。
一般に、検証動作及び読み出し動作の間には、選択されたワード線は、電圧(基準信号の一例)に接続され、電圧のレベルは、関連しているメモリセルの閾値電圧がこのようなレベルに達しているかどうかを判定するために、読み出し動作(例えば、図6の読み出し基準電圧Vr1、Vr2、Vr3、Vr4、Vr5、Vr6、及びVr7を参照)又は検証動作(図6の検証動作基準電圧Vv1、Vv2、Vv3、Vv4、Vv5、Vv6、及びVv7を参照)ごとに指定される。ワード線電圧を印加した後、メモリセルの伝導電流を測定して、ワード線に印加された電圧に応答してメモリセルがオンになっている(電流が流れている)かどうかを判定する。伝導電流がある値よりも大きいと測定された場合、メモリセルがオンにされ、ワード線に印加される電圧がメモリセルの閾値電圧よりも大きいと仮定する。伝導電流が所定の値より大きいと測定されない場合、メモリセルはオンにならず、ワード線に印加される電圧はメモリセルの閾値電圧以下であると仮定する。読み出し又は検証プロセスの間、選択されていないメモリセルには、それらの制御ゲートにおいて1つ以上の読み出しパス電圧が提供され、その結果、これらのメモリセルは、パスゲートとして動作することになる(例えば、プログラムされているか消去されているかにかかわらず電流を流す)。
読み出し又は検証動作中にメモリセルの伝導電流を測定する多くの方法がある。一例では、メモリセルの伝導電流は、センスアンプ内の専用コンデンサを放電又は充電する速度によって測定される。別の例では、選択されたメモリセルの伝導電流は、メモリセルを含むNANDストリングが対応するビット線を放電することを可能にする(又は可能にできない)。ビット線の電圧は、それが放電されたかどうかを調べるために一定時間後に測定される。本明細書に記載される技術は、検証/読み出しのための当該技術分野で公知の様々な方法と共に使用され得ることに留意されたい。当技術分野で公知の他の読み出し及び検証技術も使用することができる。
一部の実施形態では、コントローラ122は、ホストデータ(ホストから受信したデータ)をメモリシステムにプログラムするために、ホスト(又はクライアント、ユーザ等)からの要求を受信する。一部の実施形態では、コントローラ122は、プログラムされるホストデータをデータの単位に配置する。例えば、コントローラ122は、ホストデータをページ、部分的なページ(ページのサブセット)、ワード線単位、ブロック、ジャンボブロック、又は他の単位に配置することができる。
図7Bのステップ772は、選択されたワード線にプログラム電圧パルスを印加することを含む。図7Bのステップ774は、一部の実施形態において、選択されたワード線に検証基準電圧を印加することを含む検証を含む。ステップ772及び774が反復ループの一部であるとき、プログラム電圧は、大きさがステップアップする一連の電圧パルスとして印加される。電圧パルス同士の間に、検証基準電圧が印加される。これは、図7Cに描画され、プログラム電圧パルス792、794、及び796が、ステップ772の3回の連続した反復の間に印加される。プログラム電圧パルス792、794、及び796の間で、システムは、メモリセルを試験して、検証基準電圧を検証パルスとして印加することによって、メモリセルの閾値電圧がそれぞれの検証基準電圧よりも大きいかどうかを判定する。
図8は、メモリセルからデータを読み出すために実施される感知動作を説明するフロー図である。ステップ800において、NANDストリング上の選択されていないメモリセルが導通するように、選択されていないワード線にパス電圧が印加され、これにより、システムは選択されたメモリセルが読み出し基準電圧に応答して導通するかどうかを試験することができる。このパス電圧は、Vreadと呼ばれることが多い。ステップ802において、Vcgrとも呼ばれる適切な読み出し基準電圧が、選択されたワード線に印加される。メモリセル当たり1ビットを記憶するシステムの一例では、Vcgr=0v、又は0vに近い小さい電圧を記憶する。ステップ804において、全てのビット線がプリチャージされる。例示的な一実施形態では、ビット線は、センスアンプ内のコンデンサを充電し、次に、ビット線が充電されるように、ビット線を充電されたコンデンサと通信状態にすることによってプリチャージされる。ステップ806において、例えばコンデンサを放電することによって、ビット線が放電できるようになる。「積分時間」又は「ストローブ時間」と呼ばれる所定の時間の後、それぞれのメモリセルがステップ810で導通したかどうかを確認するために、コンデンサの電圧が、サンプリングされる。メモリセルがVcgrに応答して導通する場合、メモリセルの閾値電圧はVcgrよりも小さい。Vcgr=0vであり、メモリセルがオンになる場合、メモリセルは消去状態にあり、記憶されるデータは1である。Vcgr=0Vであり、メモリセルがオンにならない場合、メモリセルはプログラムされた状態にあり、記憶されるデータは0である。
図1B、図3、図4、又は図5等のメモリ回路の記憶密度は、セルの各々に、より多くのデータ状態を記憶することによって増加させることができる。例えば、図6は、各メモリセルが8つの異なるデータ状態のうちの1つを記憶することができる、セル当たり3ビットルの例を示す。セル当たり8つ以上の状態を記憶することは、異なる状態分布をより密接して記憶する必要があるか、閾値電圧のより大きい範囲(又は「Vtウィンドウ」)を使用する必要があるか、又はその両方のいずれかにより、多くの困難をもたらす。しかしながら、メモリセルの状態を互いにより密接してプログラムすることは、十分にタイトで十分に分離された分布を取得することが性能を著しく低下させるので、ますます複雑になる。正確なデータ保持は、閾値電圧ドリフトの量が少ないほどデータの読み出しを困難又は不可能にさえする可能性があるため、より困難である。Vtウィンドウを増加させることに関しては、より高い閾値電圧にすることにより、高いVt端でより多くの状態を追加することができるが、動作電圧を増加させることにより、電力消費を増加させ、場合によってはデバイス寿命を短くする。代替的に、Vtウィンドウを更に負の閾値電圧にまで拡張することによって、Vtウィンドウを増加させることができる。
図6の例では、S0の最も低いか又は消去されたデータ状態の分布のみが、0Vより下の閾値電圧を有する。負の閾値でより多くの状態を記憶すると、Vtウィンドウが増加し得る。これは、図9A及び図9Bに図示されている。
図9Aは、図6と同様であるが、最も低い閾値状態S0及び最も高い閾値状態SNのみを示す。この例の有効Vtウィンドウは、約−1V又は低い側で1ボルト小さい数十分の1から高い側で数ボルト(例えば、5V等の4〜6Vの範囲)であり、他の状態分布はこれらの2つの値の間に収まる。S0が、そのVtが0Vより低い唯一の状態である場合、図8のフローのステップ802で、メモリセルの制御ゲートを接地させるように設定することによって、これを読み取ることができる。図9Bは、Vtウィンドウの下端をより深く負のVt値に下げることを図示している。この例では、S0分布は、Vt=2.5V〜1.5V(例えば、約2V程度)以下であり、Vtウィンドウに約1Vを追加すると、S1によって図示されるように、より多くのデータ状態に追加の余白を提供する。しかしながら、負の閾値を有する異なるデータ状態を区別することは、歴史的に困難であった。したがって、図10A〜図10Cは、負のVt値を感知するためのいくつかの技術を提示する。
図10A〜図10Cは、ソース側選択ゲートSGSとドレイン側選択ゲートSGDとの間に直列に接続されたメモリセルを1つだけ有するNANDストリングを示す簡略化された表現である。図を簡略化するために、NANDストリングの他の選択されていないメモリセルは示されていないが、それらのメモリセルがいずれかの記憶されたデータ状態に対して導通することを可能にする読み出しパス電圧でバイアスされることになる。NANDストリングは、ソース端でソース線SRCに接続され、ドレイン側でビット線に接続され、更にビット線がセンスアンプに接続される。
図10Aは、負の閾値を感知するために負のワード線電圧CGRVを使用するメモリセルに対する感知動作を図示する。負のワード線電圧とは別に、NANDストリングは、正の閾値電圧値を読み取るために共通であるようにバイアスされる。ドレイン及びソース選択ゲートは、十分に高い電圧を制御線に沿ってそれらのゲートSGD=H及びSGD=Hに印加することによってオンに設定され、ソース線電圧VSRCは接地、VSRC=0V、又は他の低電圧に設定される。ビット線は、VSRCよりも高い正の電圧にプリチャージされる。例えば、VBLは0.5V等の0.2V〜1.0Vの範囲、又はそれよりも低い範囲にあり得る。次いで、ビット線は電流Icellによって放電され、放電の速度はメモリセルの閾値電圧及びその制御ゲートのワード線電圧CGRVに基づく。積分時間の後、ビット線に接続されたセンスアンプが結果をラッチする。この手法は、負のVt状態に対して使用することができるが、図10Aに図示するCGRV=−1.5V等の負の電圧を使用する必要がある。しかしながら、負の電圧は、典型的には、生成するために追加の回路を必要とし、維持することが困難であることが多いので、メモリデバイス上では使用されない。追加的に、−1.5V付近又はそれより低い負の電圧レベルは生成するのが困難であり、Vtウィンドウを深く下向きに拡張することができる程度を制限する。
負のVt状態を感知するが負の電圧を有さない別の手法が、図10Bに図示されている。図10Bでは、NANDストリングのバイアスは、負のVt状態を感知するために、CGRV=0V等の負でない電圧を使用できるように変更される。NANDストリングの選択ゲート(及び任意の選択されていないメモリセル)は、再びオンになるようにバイアスされるが、ここでソース線は接地より上にある。例えば、VSRCは、約1V又はそれよりも少し高いような、0.8〜1.5Vの範囲内にあり得る。これは、選択されたメモリセルのソースをVSRCに置き、負でないワード線電圧を用いた負のVt感知を可能にする。選択されたメモリセルを介してIcellによってビット線を放電するために、ビット線はソース線の上のレベルまでプリチャージされる。例えば、VBLは、約1.5ボルト等の、1.2ボルト〜1.8ボルトの範囲とし、VSRCより数十ボルト上に置くことができる。感知間隔にビット線を放電した後、その結果は、読み出し結果のために、対応するセンスアンプによってラッチされる。この技術は、負の電圧を伴わず負のVt感知を可能にするが、約−1.1Vよりも負のVt値に深く進むことはない。
図10Cは、負でない電圧のみを使用しながら、より深い負のVt値に感知を拡張することができる別の手法を図示する。図10Cの感知構成では、ソース線は、ビット線電圧レベルVSRC>VBL>0Vを超える電圧レベルまで上昇される。選択されたメモリセルがビット線をメモリセルを介してソース線に放電することによって導通しているかどうかを判定するのではなく、ここで、ソース線はメモリセルを介してビット線及びセンスアンプに放電される。図10Cは、これを、図10A及び図10Bのように、ソース線に向かって下向きではなく、ビット線に向かって上向きに流れる電流Icellによって図示する。例えば、ソース線は、小さい2V等のVSRC=2.0〜2.5Vの範囲内に設定することができ、ビット線電圧VBLは、1ボルト少ない数十分の1(例えば、0.2〜0.4V)に設定することができる。CGRV=0Vの場合、これにより、VSRC及びVBLレベルに応じて、例えば約−1.8Vまで又は更に、Vtを感知することが可能になる。図10Cの手法は、深い負の閾値電圧の感知に使用することができる以下のセンスアンプの実施形態、及びそのような感知動作で発生する可能性のあるノイズを低減するための技術で利用される。
図11は、図10Cの手法を使用して感知動作を実施するために使用することができるセンスアンプ1110の実施形態を示す。センスアンプ1110は、図1AのセンスブロックSB1、SB2、...、SBp 150のうちの1つに対応することができる。選択されたメモリセル1101は、ソース線SRC 1103とビット線BL 1105との間に接続されている。他のメモリセル(例えば、同じNANDストリングの)がまた、ソース線SRC 1103とビット線BL 1105との間に接続される。ただし、それらの他のメモリセルは図11に描画されていない。センスアンプ1110は、典型的には、図11に示されていない列デコード回路を介して複数のビット線に選択的に接続可能である。選択されたビット線BL 1105は、直列に接続されたスイッチBLC2 1111及びBLC 1113を介して「BL経路」と記された経路を介して放電トランジスタDT 1115に放電され、続いて、放電トランジスタDT 1115を介して放電ノードSRCGNDに放電され得る。放電トランジスタDT 1115の制御ゲートは、BLC2 1111とBLC 1113との間の内部(センスアンプに対して)ビット線ノードBLIにダイオード型構成で接続されている。BLC2 1111とBLC 1113との両方がオンであるとき、メモリセル1101からビット線BL 1105に流れる電流は、BL経路に沿って放電ノードSRCGNDに放電することができる。BLC2 1111とBLC 1113の両方がオフになると、放電BL経路は遮断され、DT 1115の制御ゲートはBLC2 1111とBLC 1113との間のノードBLIのレベルで浮動したままである。
図11の右側において、「SEN経路」と記された第2の放電経路は、感知ノードSENがスイッチXXL 1121を介して放電トランジスタDT 1115に放電することも可能にする。XXL 1121がオンであるとき、コンデンサCsen 1123に蓄積された電荷は、放電トランジスタDT 1115の制御ゲート電圧によって決定される速度で放電することになる。感知期間にわたって放電した後、ノードSENのレベルに基づく感知結果をラッチ1125において設定し、データバスDBUSを介してシフトアウトすることができる。SENノードは、ラッチ1125によってプリチャージすることができる。
図11のスイッチの電圧レベル及びタイミングは、図1Aの読み出し/書き込み回路128及びセンスブロックSB1、SB2、...、SBp 150等のメモリアレイ上の素子によって制御され、ここでは制御ブロック1131のバイアス回路によって表される。読み出し又は検証等の感知動作は、2段階で行われる。ソース線SRC、ビット線BL、選択されたメモリ及び他の素子(NAND実施形態では選択ゲート及び選択されていないメモリセル等)の初期バイアスの後、スイッチBLC2 1111及びBLC 1113はオンにされ、ビット線は放電経路を介してBL経路に沿って放電される。放電の程度、又は電流が全く放電されていないかどうかは、選択されたメモリセルの制御ゲートのワード線電圧CGRV及び選択されたメモリセルの閾値電圧Vtによって決まる。したがって、ノードBLIの電圧は、メモリセルのデータ状態、及びこのデータ状態が選択されたメモリセルをバイアスする読み出しレベルCGRVにどのように対応するかによって決まる。ノードBLIの電圧レベルが十分に安定した後、スイッチBLC2 1111及びBLC 1113はオフにされ、ノードBLI、ひいては放電トランジスタDT 1115の制御ゲートを、ビット線放電段階中に設定されたレベルで浮動させたままにする。
スイッチBLC2 1111及びBLC 1113がオフにされ、放電トランジスタDT 1115のゲートがビット線放電段階中に設定されたレベルで浮動すると、トランジスタDT 1115の導電率は、選択されたメモリセルの導電率に基づく。センスノード放電段階では、スイッチXXL 1121がオンにされ、以前に充電されたセンスノードSEN及びセンスノードコンデンサCsen 1123は、SEN経路に沿って放電トランジスタDT 1115を介して放電することができる。放電時間後、SENノードの値はラッチ1125によって捕捉され得る。SEN経路に沿った放電率は放電トランジスタDT 1115のゲート電圧によって決まり、放電トランジスタDT 1115のゲート電圧はまた、選択されたメモリセルの状態によって決まるので、ラッチされた値はデータ状態に対応している。図10Cに図示するように、バイアスされたメモリセルの場合、最も低い(即ち、最も負の)データ状態を感知するためにVCGR=0Vが使用され、VCGR値は、より高いVg状態、より負でないVt状態と正のVt状態との両方を感知するために増加される。
図11のいくつかの変形例が可能である。例えば、示すように、BL 1105と中央SCOMノードとの間に直列に接続されたスイッチBLC2 1111及びBLC 1113を有するのではなく、これらのうちの1つをノードBLIと放電トランジスタDT 1115のゲートとの間に移動させることができる。この配置により、放電トランジスタDT 1115の制御ゲートのレベルを、両方のスイッチがオンであるときのBLIノードの電圧レベルによって設定し、BL経路を遮断し、両方のスイッチがオフになると、放電トランジスタDT 1115の制御ゲートを浮動させたままにすることができるようになる。別の変形例では、BL経路及びSEN経路は、異なるトランジスタを介して放電することができるが、両方のゲートは互いに接続されている。これら及び他の変形例は、図11の実施形態及び後述する他の実施形態に組み込むことができる。
より正確にデータ値を感知するために、特に、より多くの状態が利用可能なVtウィンドウと共に記憶される場合には、感知プロセス中のノイズを実用的な程度まで最小限に抑える必要がある。この目的のために、図11に図示するセンスアンプの実施形態にいくつかの技術を適用して、改善された製品の信頼性及び性能を提供することができる。2つのノイズ源は、図11の放電トランジスタDT 1115に関連し、トランジスタのゲート、又は等価的にノードBLIのいずれかのノイズ、及びトランジスタを通る電流経路に沿ったノイズが、感知プロセスを誤らせることがある。
放電トランジスタDT 1115を通る電流経路のノイズを低減するために、クランプデバイス及び補助電流源、又は「キーパー電流」が、感知中に放電トランジスタDT 1115のドレイン電圧をクランプするようにセンスアンプ回路に導入することができる。これは、放電トランジスタDT 1115を介して起こり得るノイズをブロックし、放電トランジスタDT 1115を通ってノードSRCGNDに流れる電流を提供するのに役立ち得る。ノードSRCGNDは、典型的に、感知動作中に、接続されたセンスアンプの全てがSRCGND線に電流を放電し得るように、センスアンプ及び他のセンスアンプが接続される共通に調整されたSRCGND線のノードになることになる。補助キーパー電流の導入は、感知中にSRCGNDノードのクリティカルノイズを除去するのに役立つ。
放電トランジスタDT 1115の制御ゲートにおけるノイズを低減するために、スイッチBLC2 1111及びBLC 1113がスイッチオフしてセンスノードの放電に備えているときの考えられる結合を補償及び補正するために、デカップリングコンデンサを導入することができる。この解決策は、放電トランジスタDT 1115のゲートへの、場合によっては望ましくない結合を補正するのに役立ち、より正確な感知結果を提供する。デカップリングコンデンサは、より正確な感知結果を取得するために、温度等の動作条件及びデバイスコーナーを追跡することができる。これは、Vtが負になることがどのように感知され得るかのレベルが温度及びデバイスコーナーに依存し得るので、温度依存性及びデバイスコーナーで正確な感知結果を提供するために有用であり得る。
図12は、ノイズを低減するために直ぐ上に記載されたこれらの要素、及び図1AのセンスブロックSB1、SB2、...、SBp 150に組み込むことができるようなセンスアンプ回路のための様々な実施形態に組み込むことができる他の要素を含む。図12では、図11の要素は、ノードBLIに接続されたデカップリングコンデンサCdecop 1212及び補助電流源NLO 1218と共に、感知段階への遷移中にSRCGNDノードを安定させるのを支援するために反復される。
より明確には、図12は、ソース線SRC 1203とビット線BL 1205との間に接続されたメモリセル1201を図示する。メモリセル1201は、図3及び図4に関して説明したような、電荷蓄積メモリセルのNANDストリングの一部であり得、図5に関して上述したような位相変化メモリ材料(PCM)に基づくか、又は他のメモリ技術のメモリセルであり得る。ビット線BL 1205は、ここではビット線選択(bit line select、BLS)スイッチ1206によって表されるデコード回路を介してセンスアンプに接続される。(この説明では、スイッチは、一般に、バイアス制御回路からの制御信号に従って名付けられ、その結果、例えば、スイッチ1206の制御信号BLSもその名前のために使用される。)
ビット線選択スイッチBLS 1206の後、ビット線BL 1205は、スイッチBLC2 1211を介して内部ビット線BLIに接続され、次に、スイッチBLC 1213を介して中央のコメント感知ノードSCOMに接続される。ノードSCOMは、放電トランジスタDT 1215を介して接続されて、ノードSCOMがSRCGNDに放電することを可能にする。図11と同様に、これは、SRC 1203から選択されたメモリセル1201を介して選択されたビット線まで、続いて直列接続スイッチBLC2 1211及びBLC 1213を介して放電トランジスタDT 1215まで、最終的にSRCGNDに至る、BL経路と記された放電経路を提供する。DT 1215の制御ゲートはやはりBLIのノードに接続され、その結果、スイッチBLC2 1211及びBLC 1213がオフになると、DT1215の制御ゲートはBLIのレベルで浮動したままになることになる。
図12の右側において、コンデンサCsen 1223を有するSENノードは、スイッチXXL 1221を介してSCOMノードに接続され、次に、放電トランジスタDT1215に接続されて、2回目の感知動作のために、SENノードからSRCGNDへの第2の放電経路(SEN経路)を提供する。SENノードはまた、ラッチ1225に接続されて、感知動作の結果をラッチし、ラッチ1225はまた、データバスDBUSに接続される。実施形態に応じて、ラッチ1225は、多状態の読み出し及び書き込み又は他のデータ操作に使用するための多数の個々のラッチを含むことができる。これまで説明した図12の要素は、図12を単純化するために、様々なスイッチの制御信号を提供するバイアス制御ブロック(図11の1131)が図示されていない(ただし、デバイスに含めるべきである)ことを除いて、主に図11に関して上述したとおりである。後述するように、図12の要素に提供される波形のいくつかが、後述するように、図13に示されている。
図12は、図11には示されていないが、様々な実施形態で追加することができるいくつかの要素を明示的に示している。スイッチNLO2 1207は、SRCGNDと、BLS 1206及びBLC2 1211の間のノードとの間に接続され、BL 1205又はBLIがSRCGNDからの様々な電圧レベルにプリチャージ又は設定されることを可能にする。スイッチINV 1216は放電トランジスタDT 1215の間に接続され、SRCGNDノードは多数の他のセンスアンプによって共通に共有される線に接続され得るので、センスアンプをSRCGNDから選択的に絶縁することができる。スイッチGRS 1217はDT 1215と並列に接続され、例えば、BLIのレベルが低く、その結果、DT 1215がオフであり、センスアンプがDT 1215のDCOMノードをSRCGNDに放電する必要がある場合、DT 1215をバイパスすることを可能にする。センスアンプ回路にこれら及び他の様々なスイッチを追加して、動作及び汎用性を改善することができる。
図12の実施形態はまた、本明細書に記載の主な感知動作に直接入らないが、その汎用性を高めることもできるいくつかの追加の要素も含む。スイッチBIAS 1204は、ビット線を、様々なメモリ動作のために選択されたビット線をバイアスする際に使用することができるレベルBLBIASに接続することができる。また、中央のSCOMノードへの別の経路は、スイッチBLX 1241(場合によっては追加のスイッチ)を介して高センスアンプ電圧VHSAに提供される。本明細書に主に記載した感知動作(全てのデータ状態がSRC線1203をメモリセル1201を介してセンスアンプに放電することによって感知される)では使用されないが、図10Aに図示するようなより標準的な感知動作(センスアンプ/ビット線がメモリセル1201を介してSRC 1203に放電する)は、スイッチBLX 1241を使用することができる。例えば、図10Cによって図示するように、全ての状態を感知するのではなく、CGRVを0Vから様々な読み出し値まで連続的に上昇させる場合には、図10Cの手法を負のVt状態に使用し、次いで、図10Aの手法を使用するときに負のCGRV値を必要としない負でないVt状態についての図10Aの手法に切り替えることができる。
図11に関して上述したように、図12のセンスアンプ配置は、第1の放電経路「BL経路」を使用して第1の段階によって選択されたメモリセルに対して感知動作を実施して、ソース線1203を選択されたメモリセル1201を介して、続いて放電トランジスタDT 1215を介してSRCGNDに放電するために使用することができる。これは、対応するワード線の電圧レベルCGRVに対するメモリのデータ状態に応じた電圧レベルに、BLIのノード及びDT 1215の制御ゲートを設定することになる。BLIノードのレベルが安定すると、スイッチBLC2 1211及びBLC 1213は、DT 1215の制御ゲートを浮動させたままオフにされ、DT 1215の導電率は選択されたメモリセル1201の導電率によって判定される。第2の段階では、スイッチXXL 1221がオンにされて、プリチャージされたノードSENをDT 1215を介してSRCGNDに、DT 1215の導電率に基づく速度で放電し、この速度はまた、メモリセル1201の導電率に基づく。放電期間の後、SENのレベルがラッチ1225によって捕捉されて、感知結果が得られる。
BLIノード及び放電トランジスタDT 1215のゲートのノイズを低減するために、遷移中にスイッチBLC2 1211及びBLC 1213がオフになると、デカップリングコンデンサCdecop 1212が導入される。このコンデンサは、放電トランジスタDT 1215のゲートへの望ましくない結合の可能性を補償及び補正するのに役立ち、より正確な感知結果を提供する。より正確な感知結果を提供するために、Cdecop 1212の下部プレートは、BLIノードに接続され、上部プレートは、デカップリングコンデンサCdecop 1212が温度等の動作条件及びデバイスコーナーを追跡することを可能にすることができるレベルBLI_BSTに接続される。一部の実施形態では、Cdecop 1212は、ソース及びドレインの両方がBLIノードに接続され、その制御ゲートがレベルBLI_BSTに接続されたトランジスタとして実装され得る。
段階間の遷移の間の別のノイズ源、及びSENノードの後続の放電は、SRCGNDレベルのノイズから生じる可能性があり、SRCGND線は、典型的には、SRCGND線に電流を同時にダンプするであろう多数のセンスアンプによって共有されることになる。スイッチNLO 1218を通る補助電流源は、センスアンプ電圧LVSAに接続されて、放電トランジスタ1215を介してキーパー電流を提供する。クランプデバイスDCL 1219は、感知中に放電トランジスタDT 1215のドレイン電圧(ノードDCOMにおける)をクランプする。これらのデバイスは、放電トランジスタDT 1215を通る可能性のあるノイズをブロックし、共通に調整されたノードSRCGNDに一定の電流を流すのに役立つ。これは、感知中にSRCGNDノードで有害なノイズを除去するのに役立つ。
図13は、感知動作の一実施形態における、図12の制御信号のいくつかのバイアス回路からの制御信号の波形を図示する。波形は時刻t0〜t10でマークされ、t0〜t3は準備期間である。t3〜t6は、ソース線SRC 1203がセンスアンプに放電され、放電トランジスタDT 1215の制御ゲートのレベルが設定される第1の段階である。t6〜t8は段階間の遷移である。t8〜t9は、SENノードが第2の放電経路即ちSEN経路に沿って放電される第2の段階である。t9〜t10は、SENノードの値がラッチされるときのストローブ期間である。
図12のデバイスのうちの一部の制御信号は、図13の波形には含まれていない。INV 1216はオンであり、GRS 1217は示される全ての期間にわってオフである。ビット線選択スイッチBLS 1206は、期間全体にわたって、又は少なくとも第1の段階がt6で終了するまでオンである。上述したように、スイッチBIAS 1204及びBLX 1241は、図13に関して説明した感知動作においてアクティブではなく、両方ともオフである。DCL 1219は、ノードDCOMの電圧クランプとして作用し、この目的のためにゲートが設定される。
図13のBL 1205のt0から開始すると、ノードBLI、SCOM、SEN、及びSRCGNDは全て低であり、NLO2 1207、BLC2 1211、BLC 1213、XXL 1221、Csen 1223のプレートへのCLK信号、及びNLOの制御信号のとおりである。t0とt1との間で、アレイはバイアスされる。これは、アレイのアーキテクチャに応じて、SRC線1203、選択されたワード線及び選択されていないワード線、選択ゲート、又は選択されたメモリセル1201をバイアスするのに必要な他のレベルを設定することを含むことができる。
t1とt2との間で、センスアンプの初期レベルが設定される。SRCGND線は初期の高い値に上げられ、BLC2 1211と同様にNLO2 1207がオンにされる。これはBL 1205の値とBLIのノードを高に設定する。ビット線及び内部ビット線が設定されると、t2とt3との間で、NLO2はオフにされ、SRCGNDは、後続の放電段階で使用されるレベルまで低下する。
図11及び図12において、ソース線SRC 1203が選択されたメモリセル1201を介してセンスアンプに放電するときの、BL経路と記された第1の放電経路に沿った第1の放電段階は、BLC 1213がオンになるt3から開始し、中央の共通センスノードSCOMをBLIに接続する。SCOMが充電されている間に、ビット線BL 1205及びBLIは、t3とt4との間で放電を開始する。これら3つの全てのトレースのレベルは、選択されたメモリセルの導電率によって決まり、ここで、HCは高導電性セルであり、MCは中導電性セルであり、NCは非導電性セルである。示されているように、BL、BLI、及びSCOMは、導電セルが最も高く、非導通セルが最も低く、中間の中間状態であるt4で安定することになる。次の段階を準備するために、t4でSENノードがプリチャージされ、これはラッチ1225から行われる場合があり、続いて、t5でCLK信号をCsen 1223に上昇させ、SENのレベルを更に上昇させる。t6によって、BLI(及びDT 1215の制御ゲート)のレベルは、選択されたメモリセルの導電率に基づくレベルで安定化され、SENノードがプリチャージされ、第2の放電段階の条件を設定する。
t6で、BLC2 1211及びBLC 1213はオフにされ、BLIノードを分離し、その結果、t7からオンになり、BLIは、メモリセルの導電率に基づくレベルで浮動している(破線で表される)。これにより、ソース線SRC 1203からの放電経路が遮断され、ビット線1205が高になり、これは残りのプロセスのために維持されることになり、SCOMが放電トランジスタDT 1215を介して放電してバウンスする。これはまた、図13にt6とt8との間のBLIレベルのギザギザな輪郭で図示するように、BLI及びDT 1215のゲートにカップリングノイズをもたらす。デカップリングコンデンサCdecop 1212は、このノイズを補正するのを支援するために使用され、Cdecop 1212の上部プレートのレベルBLI_BSTは、より正確な感知結果を提供するために温度及びデバイスコーナーを追跡することができる。
SCOMノード及びBLIノードの変動はまた、t6とt7の間のSRCGNEのギザギザの輪郭で示すように、ノイズに非常に敏感であり得るSRCGNDにもノイズを導入する。このノイズを除去するために、DCOMのレベルを維持するNLO 1218及びクランプDCL 1219からの補助電流が、SRCGNDノード及びSCOMノードを安定させるのに役立つ。一番下のトレースに示すように、NLO 1218がオンにされて、t7で補助キーパー電流が提供される。
t6で、SENノードがプリチャージされ、DT 1215及びSRCGNDの制御ゲートが安定化されている。次に、XXL 1221をオンにして、SENノードを放電させる。XXL 1221の遷移は、NLO 1218からのキーパー電流が安定化するのにも役立つであろう、SRCGNDにノイズを再び導入することができる。t8でXXL 1221がオンにされると、SCOM及びSENは、DT 1215のゲート電圧によって決定される速度で放電を開始し、この速度はまた、メモリセルの導電率によって設定される。示すように、t8とt9との間では、HC状態は最も急速に放電し、NC状態はほとんど放電を示さず、MC状態は中央に位置する。t9〜t10で、SENのレベルがラッチされ、その後、感知動作が完了する。
図14は、図13の波形を使用して図12のセンスアンプの感知動作のプロセスの一実施形態を説明するフロー図である。ステップ1401から開始し、選択されたメモリセル1201、ソース線1203、及び任意の他のアレイ素子(選択ゲート、同一のNANDストリングの選択されていないメモリセル等)は、感知動作に備えてバイアスされる。これは、図13のt0〜t1セクションに相当する。ステップ1403において、図13のt1〜t3の期間に対応して、ビット線BL 1205及び内部ビット線BLIが充電される。次いで、ステップ1405において、第1の放電段階が開始する。
ステップ1405において、スイッチBLC 1213がオンにされ、ソースSRC 1203は、第1の放電経路(BL経路)に沿って選択されたメモリセル1201を介して第1の放電段階を開始し、最終的に、選択されたメモリセル1201の導電率に応じたレベルで安定する。このプロセス中のBLIのレベルもまた、ステップ1407に対応する放電トランジスタDT 1215の制御ゲートのレベルである。ステップ1405及び1407は、図13の期間t3〜t6の間である。
SENノードが、ステップ1409でプリチャージされる。図13の実施形態では、これは、BL経路に沿った第1の放電段階の期間t4〜t6の間に生じる。他の実施形態は、SENノードがステップ1415におけるSEN経路に沿った後続の放電のために準備されている限り、このステップを早めるか又は遅らせることができる。
ステップ1411及び1413は、図13の実施形態の期間t6〜t8に対応する、2つの段階間の遷移の一部である。ステップ1411で、放電のためのBL経路が遮断され、DT 1215の制御ゲートは、スイッチBLC2 1211及びBLC 1213をオフにすることによってBLIのレベルで浮動するように設定される。コンデンサCdecop 1212は、BLIのノイズを低減するのに役立ち、上部プレートをBLI_BSTのレベルに接続させることは、動作条件又はプロセスコーナーによる変動に役立つことができる。ステップ1413で、NLO 1218からの補助キーパー電流が開始し、SRCGNDの安定化を支援する。
期間t8〜t9に対応する第2の放電経路、即ちSEN経路の第2の放電段階は、ステップ1415で開始する。スイッチXXL 1221がオンにされ、SENノードは、DT 1215を介して放電し、DT 1215の制御ゲートはステップ1407で選択されたメモリセル1201の導電率に基づいて設定される。図13の実施形態では、SRCGNDノイズを抑えるために、この期間中、キーパー電流が流れているままである。ステップ1417で、SENのレベルがラッチされて、感知結果を提供し、感知動作を終了する。
第1の組の態様によれば、装置は、放電トランジスタと、第1の放電経路と、第2の放電経路と、バイアス回路とを備える。第1の放電経路は、選択されたビット線を放電トランジスタに接続するように構成され、第2の放電経路は、センスノードを放電トランジスタに接続するように構成される。バイアス回路は、放電トランジスタのゲート電圧を第1の放電経路の電圧レベルで設定し、続いて、放電トランジスタのゲート電圧を電圧レベルで浮動させたままにしながら、第1の放電経路を遮断し、放電トランジスタを介して第2の放電経路を介してセンスノードを放電することによって、選択されたビット線に接続されたメモリセルを感知するように構成されている。
他の態様では、装置は、トランジスタと、第1、第2、及び第3のスイッチとを含む。選択されたメモリセルとトランジスタとの間に第1のスイッチと第2のスイッチが直列に接続され、トランジスタの制御ゲートは第1のスイッチと第2のスイッチとの間のノードに接続される。第1のスイッチ及び第2のスイッチは、第1のスイッチ及び第2のスイッチが、同時にオンであるときに、トランジスタを介して選択されたメモリセルを放電するように構成され、第1のスイッチ及び第2のスイッチが同時にオフであるときに、トランジスタの制御ゲートを第1のスイッチと第2のスイッチとの間のノードの電圧レベルで浮動するように設定するように構成されている。第3のスイッチは、センスノードとトランジスタとの間に接続され、第3のスイッチは、第1のスイッチと第2のスイッチとの間のノードの電圧レベルで浮動するように設定されたトランジスタの制御ゲートを用いて、トランジスタを介してセンスノードを放電するように構成されている。
他の態様は、第1の放電経路を経由してセンスアンプを介して、選択されたメモリセルを放電することと、放電トランジスタの制御ゲートの電圧を第1の放電経路に沿った電圧レベルに設定することであって、電圧レベルが、選択されたメモリセルのデータ状態によって決まる、設定することと、を含む。続いて、補助電流が放電トランジスタを介して提供され、放電トランジスタを介して補助電流を提供しながら、選択されたメモリセルのデータ状態に応じた電圧レベルに設定された放電トランジスタの制御ゲートを用いて、放電トランジスタを介してセンスノードを放電する。
更なる態様は、第1のトランジスタ、第1のスイッチ、第2のスイッチ、及び電流源を含むシステムを含む。第1のスイッチは、選択されたメモリセルと第1のトランジスタのゲートとの間に接続された第1のスイッチであって、第1のスイッチが、選択されたメモリセルのデータ状態に対応する第1のトランジスタのゲートの電圧レベルを設定するように構成されている。第2のスイッチは、センスノードと第1のトランジスタとの間に接続され、第1のトランジスタを介して、選択されたメモリセルのデータ状態に対応する電圧レベルに設定された第1のトランジスタのゲートを用いて、センスノードを放電するように構成されている。選択されたメモリセルのデータ状態に対応する第1のトランジスタのゲートの電圧レベルを設定した後、かつ第1のトランジスタを介してセンスノードを放電する前に、第1のトランジスタを介して補助電流を供給するように構成されている、電流ソース。
更に別の態様は、センスアンプ回路は、放電ノードに接続されたトランジスタと、前記センスアンプ回路の放電経路を介して、選択されたメモリセルを放電する手段と、選択されたメモリセルを放電しながら、放電経路のノードにおいて、トランジスタの制御ゲートを選択されたメモリセルのデータ状態に応じた電圧レベルに設定する手段と、トランジスタの制御ゲートが、選択されたメモリセルのデータ状態に応じた電圧レベルに設定されている間に、トランジスタを介してセンスノードを放電する手段とを、備える。
選択されたメモリセルを放電する手段の実施形態は、メモリセルと、ソースと、ビット線とを含むメモリアレイの選択された素子をバイアスするのに必要な素子を含むことができ、その結果、メモリセルが導電性であれば、メモリセルは、そのソース線についてそのビット線及びセンスアンプに放電することになる、これは、図1B及び図3〜図5に図示するようなアレイ構造の必要性に応じて、ブロック124、128及び132の様々なドライバ及びデコード要素をカンする。センスアンプ内で、選択されたメモリセルを放電する手段のための手段は、これらのスイッチが選択されたビット線の放電経路を提供するためのスイッチ及びバイアス制御回路を含むことができ、図11及び図12に図示した、BLC2 1111/1211、BLC 1113/1213、及びDT 1115/1215等のビット線BLからの経路についてのトポロジを含むが、スイッチ及びトランジスタの他のトポロジ及び配置を使用することができる。トランジスタの制御ゲートを電圧レベルに設定する手段の実施形態は、図11及び図12に示すように、DT 1115/1215のゲートを接続する等、トランジスタのゲートをメモリセルの導電性を反映するレベルに、若しくはメモリセルが放電されることによって経路の別の地点に、又はメモリセルの放電に使用される別のトランジスタのゲート等のメモリセルの状態に基づく他のレベルに、接続することを含むことができる。センスノードを放電する手段の実施形態は、センスノードを放電トランジスタに接続するスイッチ、例えばXXL 1121/1221と、センスノード上に電荷を保持するプリチャージ手段、例えばキャパシタCsen 1123/1223とを含むことができる。
本明細書の目的のために、明細書中の「実施形態」、「一実施形態」、「いくつかの実施形態」又は「別の実施形態」に対する言及は、異なる実施形態又は同一の実施形態について記述するために使用されることがある。
本明細書の目的のために、接続とは、直接的な接続又は間接的な接続(例えば、1つ又は複数の他の部分を介して)であり得る。場合によっては、ある要素が別の要素に接続されるか又は結合されると言及される場合、この要素は、他の要素に直接的に接続されてもよく、又は、介在要素を介して他の要素に間接的に接続されてもよい。ある要素が別の要素に直接的に接続されていると言及される場合、この要素と他の要素との間には介在要素は存在しない。2つのデバイスは、それらが互いの間で電子信号を交換することができるように直接的に又は間接的に接続されている場合、「通信状態」にある。
本明細書の目的のために、「基づいて」という用語は、「少なくとも部分的に基づいて」と読むことができる。
本明細書の目的のために、追加の文脈がない、「第1の」物体、「第2の」物体、及び「第3の」物体等の数値的な用語の使用は、物体の順序を示唆するものではなく、代わりに、異なる物体を識別するための識別目的で使用されることがある。
本明細書の目的のために、物体の「組」という用語は、複数の物体のうちの1つ又は複数の物体の「組」を指すことがある。
前述の詳細な説明は、例示及び説明の目的のために提示したものである。前述の詳細な説明は、網羅的であること、又は開示した正確な形態に限定することを意図したものではない。上記の教示に鑑みて多くの修正及び変形が可能である。説明した実施形態は、提案した技術の原理及びその実際の用途を最もよく説明するために選択されたものであり、それによって、当業者が様々な実施形態で、企図される特定の使用法に適するように様々な修正を伴って、この技術を最も良いように利用することを可能にする。範囲は、本明細書に添付の請求項によって規定されることが意図されている。

Claims (20)

  1. 装置であって、
    放電トランジスタと、
    選択されたビット線を前記放電トランジスタに接続するように構成された第1の放電経路と、
    センスノードを前記放電トランジスタに接続するように構成された第2の放電経路と、
    前記放電トランジスタのゲート電圧を前記第1の放電経路の電圧レベルで設定し、続いて、前記放電トランジスタの前記ゲート電圧を前記電圧レベルで浮動させたままにしながら、前記第1の放電経路を遮断し、前記放電トランジスタを介して前記第2の放電経路を介して前記センスノードを放電することによって、前記選択されたビット線に接続されたメモリセルを感知するように構成されたバイアス回路と、を備える、装置。
  2. 前記放電トランジスタを介して補助電流を提供するように構成された電流ソースを更に備え、前記バイアス回路が、前記第1の放電経路を遮断した後、かつ前記センスノードを放電する前に、前記電流ソースをオンにして、前記放電トランジスタを介して前記補助電流を提供し、かつ、前記放電トランジスタを介して前記センスノードを放電しながら、前記放電トランジスタを介して前記補助電流を提供し続けるように更に構成されている、請求項1に記載の装置。
  3. 前記放電トランジスタのゲートに接続された第1のプレートを有するコンデンサを更に備え、前記バイアス回路が、動作条件に応じた電圧レベルを前記コンデンサの第2のプレートに印加するように更に構成されている、請求項1に記載の装置。
  4. 装置であって、
    トランジスタと、
    選択されたメモリセルと前記トランジスタとの間に直列に接続された第1のスイッチ及び第2のスイッチであって、前記トランジスタの制御ゲートが、前記第1のスイッチと前記第2のスイッチとの間のノードに接続され、前記第1のスイッチ及び前記第2のスイッチが、同時にオンであるときに、前記トランジスタを介して前記選択されたメモリセルを放電するように構成され、前記第1のスイッチ及び前記第2のスイッチが同時にオフであるときに、前記トランジスタの前記制御ゲートを前記第1のスイッチと前記第2のスイッチとの間の前記ノードの電圧レベルで浮動させるよう設定するように構成されている、第1のスイッチ及び第2のスイッチと、
    センスノードと前記トランジスタとの間に接続された第3のスイッチであって、前記第1のスイッチと前記第2のスイッチとの間の前記ノードの前記電圧レベルで浮動するように設定された前記トランジスタの前記制御ゲートを用いて、前記トランジスタを介して前記センスノードを放電するように構成されている、第3のスイッチと、を備える、装置。
  5. 前記第1及び第2のスイッチをオフにした後、かつ前記第3のスイッチをオンにする前に、前記トランジスタを介して補助電流を提供するように構成された補助電流ソースを更に備える、請求項4に記載の装置。
  6. 前記トランジスタの前記制御ゲートに接続された第1のプレートを有するデカップリングコンデンサを更に備える、請求項4に記載の装置。
  7. 前記第1及び第2のスイッチをオフにした後、かつ前記第3のスイッチをオンにする前に、動作条件に応じた電圧レベルを前記デカップリングコンデンサの第2のプレートに印加するように構成されたバイアス回路を更に備える、請求項6に記載の装置。
  8. ビット線であって、前記選択されたメモリセルは、前記ビット線を介して前記第1及び第2のスイッチに接続されている、ビット線と、
    ソース線であって、前記選択されたメモリセルが、前記ソース線と前記ビット線との間に接続されている、ソース線と、
    感知動作中に、前記ソース線を前記ビット線よりも高い電圧に設定するように構成されたバイアス回路と、を更に備える、請求項4に記載の装置。
  9. 前記選択されたメモリセルの制御ゲートに接続されたワード線であって、前記バイアス回路が、負ではない電圧を前記ワード線に印加することによって、負の閾値電圧状態に対する感知動作を実施するように構成されている、ワード線を更に備える、請求項8に記載の装置。
  10. 前記バイアス回路が、前記メモリセルを介して前記ソース線に前記ビット線を放電することによって、正の閾値電圧状態に対する感知動作を実施するように更に構成されている、請求項9に記載の装置。
  11. 前記装置が、前記選択されたメモリセルを含むメモリセルがシリコン基板上の複数の物理レベルに配置され、かつ電荷蓄積媒体を含む、モノリシック3次元半導体メモリデバイスのメモリアレイを含む、請求項4に記載の装置。
  12. 前記選択されたメモリセルが、位相変化メモリ材料を含む、請求項4に記載の装置。
  13. 方法であって、
    第1の放電経路を経由してセンスアンプを介して、選択されたメモリセルを放電することと、
    放電トランジスタの制御ゲートの電圧を前記第1の放電経路に沿った電圧レベルに設定することであって、前記電圧レベルが、前記選択されたメモリセルのデータ状態によって決まる、設定することと、
    続いて、前記放電トランジスタを介して補助電流を提供することと、
    前記放電トランジスタを介して前記補助電流を提供しながら、前記選択されたメモリセルの前記データ状態に応じた前記電圧レベルに設定された前記放電トランジスタの前記制御ゲートを用いて、前記放電トランジスタを介してセンスノードを放電することと、を含む、方法。
  14. 前記センスノードが放電されるクランプトランジスタを介して、前記放電トランジスタに前記補助電流を提供することと、
    前記クランプトランジスタと前記放電トランジスタとの間のノードで一定の電圧レベルを維持するように、前記クランプトランジスタをバイアスすることと、を更に含む、請求項13に記載の方法。
  15. 装置であって、
    第1のトランジスタと、
    選択されたメモリセルと前記第1のトランジスタのゲートとの間に接続された第1のスイッチであって、前記選択されたメモリセルのデータ状態に対応する前記第1のトランジスタの前記ゲートの電圧レベルを設定するように構成されている、第1のスイッチと、
    センスノードと前記第1のトランジスタとの間に接続され、前記選択されたメモリセルの前記データ状態に対応する前記電圧レベルに設定された前記第1のトランジスタの前記ゲートを用いて、前記第1のトランジスタを介して前記センスノードを放電するように構成された第2のスイッチと、
    前記選択されたメモリセルの前記データ状態に対応する前記第1のトランジスタの前記ゲートの前記電圧レベルを設定した後、かつ前記第1のトランジスタを介して前記センスノードを放電する前に、前記第1のトランジスタを介して補助電流を供給するように構成された電流ソースと、を備える、装置。
  16. ビット線であって、前記選択されたメモリセルは、前記ビット線を介して前記第1のスイッチに接続されている、ビット線と、
    ソース線であって、前記選択されたメモリセルが、前記ソース線と前記ビット線との間に接続されている、ソース線と、
    感知動作中に、前記ソース線を前記ビット線よりも高い電圧に設定するように構成されているバイアス回路と、を更に備える、請求項15に記載の装置。
  17. 前記選択されたメモリセルの制御ゲートに接続されたワード線であって、前記バイアス回路が、負でない電圧を前記ワード線に印加することによって、負の閾値電圧状態に対する感知動作を実施するように構成されている、ワード線を更に備える、請求項16に記載の装置。
  18. 前記選択されたメモリセルの制御ゲートに接続されたワード線であって、前記バイアス回路が、複数の対応する負でない電圧のうちの1つを前記ワード線に印加することによって、複数の閾値電圧状態に対する感知動作を実施するように構成されている、ワード線を更に備える、請求項16に記載の装置。
  19. 第2のトランジスタであって、前記補助電流は、前記第2のトランジスタを介して前記第1のトランジスタに供給され、前記センスノードは、前記第2のトランジスタを介して前記第1のトランジスタを介して放電される、第2のトランジスタを更に備え、前記バイアス回路が、前記第1のトランジスタと前記第2のトランジスタとの間のノードで一定の電圧レベルを維持するように構成されている、請求項16に記載の装置。
  20. センスアンプ回路であって、
    放電ノードに接続されたトランジスタと、
    前記センスアンプ回路の放電経路を介して、選択されたメモリセルを放電する手段と、
    前記選択されたメモリセルを放電しながら、前記放電経路のノードにおいて、前記トランジスタの制御ゲートを前記選択されたメモリセルのデータ状態に応じた電圧レベルに設定する手段と、
    前記トランジスタの前記制御ゲートが、前記選択されたメモリセルの前記データ状態に応じた前記電圧レベルに設定されている間に、前記トランジスタを介してセンスノードを放電する手段と、を備える、センスアンプ回路。
JP2018220520A 2017-11-29 2018-11-26 不揮発性メモリのための負の閾値感知を用いたセンスアンプ Active JP6672435B2 (ja)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201762592402P 2017-11-29 2017-11-29
US62/592,402 2017-11-29
US201762596650P 2017-12-08 2017-12-08
US62/596,650 2017-12-08
US15/995,517 US10304550B1 (en) 2017-11-29 2018-06-01 Sense amplifier with negative threshold sensing for non-volatile memory
US15/995,517 2018-06-01

Publications (3)

Publication Number Publication Date
JP2019117680A true JP2019117680A (ja) 2019-07-18
JP2019117680A5 JP2019117680A5 (ja) 2020-01-16
JP6672435B2 JP6672435B2 (ja) 2020-03-25

Family

ID=66442278

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018220520A Active JP6672435B2 (ja) 2017-11-29 2018-11-26 不揮発性メモリのための負の閾値感知を用いたセンスアンプ

Country Status (4)

Country Link
US (1) US10304550B1 (ja)
JP (1) JP6672435B2 (ja)
CN (1) CN109841237B (ja)
DE (1) DE102018129517A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021178109A1 (en) * 2020-03-04 2021-09-10 Micron Technology, Inc. Modified write voltage for memory devices

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10535397B1 (en) * 2018-08-21 2020-01-14 Micron Technology, Inc. Sensing techniques for multi-level cells
US10643695B1 (en) * 2019-01-10 2020-05-05 Sandisk Technologies Llc Concurrent multi-state program verify for non-volatile memory
US10878923B1 (en) * 2019-06-26 2020-12-29 Sandisk Technologies Llc Partial page sensing mode, method, and apparatus for 3D NAND
JP2021034090A (ja) 2019-08-28 2021-03-01 キオクシア株式会社 不揮発性半導体記憶装置
US11024392B1 (en) 2019-12-23 2021-06-01 Sandisk Technologies Llc Sense amplifier for bidirectional sensing of memory cells of a non-volatile memory
US10964380B1 (en) * 2020-02-06 2021-03-30 Qualcomm Incorporated Integrated device comprising memory bitcells comprising shared preload line and shared activation line
US10915133B1 (en) 2020-02-25 2021-02-09 Sandisk Technologies Llc Non-dominant pole tracking compensation for large dynamic current and capacitive load reference generator
US11901018B2 (en) 2021-12-27 2024-02-13 Sandisk Technologies Llc Sense amplifier structure for non-volatile memory with neighbor bit line local data bus data transfer

Family Cites Families (104)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4397022A (en) 1981-01-30 1983-08-02 Weng Ming I Weighted erasure codec for the (24, 12) extended Golay code
US5522580A (en) 1988-06-14 1996-06-04 Basf Corporation Removing stains from fixed items
EP0392895B1 (en) 1989-04-13 1995-12-13 Sundisk Corporation Flash EEprom system
US5091945A (en) 1989-09-28 1992-02-25 At&T Bell Laboratories Source dependent channel coding with error protection
JP2835107B2 (ja) 1989-11-16 1998-12-14 沖電気工業株式会社 不揮発性半導体記憶装置のエラー訂正回路及びそのエラー訂正方法
KR960002006B1 (ko) 1991-03-12 1996-02-09 가부시끼가이샤 도시바 2개의 기준 레벨을 사용하는 기록 검증 제어기를 갖는 전기적으로 소거 가능하고 프로그램 가능한 불휘발성 메모리 장치
US6222762B1 (en) 1992-01-14 2001-04-24 Sandisk Corporation Multi-state memory
US5532962A (en) 1992-05-20 1996-07-02 Sandisk Corporation Soft errors handling in EEPROM devices
US5657332A (en) 1992-05-20 1997-08-12 Sandisk Corporation Soft errors handling in EEPROM devices
US5555204A (en) 1993-06-29 1996-09-10 Kabushiki Kaisha Toshiba Non-volatile semiconductor memory device
KR0169267B1 (ko) 1993-09-21 1999-02-01 사토 후미오 불휘발성 반도체 기억장치
US5822225A (en) 1994-09-21 1998-10-13 Ericsson Raynet Corporation Self-calibrating data processors and methods for calibrating same
US5657354A (en) 1995-05-15 1997-08-12 Thesling, Iii; William H. Planar approximating method for computing the log-likelihood ratio optimal signal metric of each component code decoder in 8-PSK block coded modulation systems
US5903495A (en) 1996-03-18 1999-05-11 Kabushiki Kaisha Toshiba Semiconductor device and memory system
US6023783A (en) 1996-05-15 2000-02-08 California Institute Of Technology Hybrid concatenated codes and iterative decoding
US5715193A (en) 1996-05-23 1998-02-03 Micron Quantum Devices, Inc. Flash memory system and method for monitoring the disturb effect on memory cell blocks due to high voltage conditions of other memory cell blocks
US5864569A (en) 1996-10-18 1999-01-26 Micron Technology, Inc. Method and apparatus for performing error correction on data read from a multistate memory
US5920501A (en) 1997-12-12 1999-07-06 Micron Technology, Inc. Flash memory system and method for monitoring the disturb effect on memory cell blocks due to high voltage conditions of other memory cell blocks
US6279133B1 (en) 1997-12-31 2001-08-21 Kawasaki Steel Corporation Method and apparatus for significantly improving the reliability of multilevel memory architecture
JP3597697B2 (ja) 1998-03-20 2004-12-08 富士通株式会社 文書要約装置およびその方法
US6041001A (en) 1999-02-25 2000-03-21 Lexar Media, Inc. Method of increasing data reliability of a flash memory device without compromising compatibility
US7333364B2 (en) 2000-01-06 2008-02-19 Super Talent Electronics, Inc. Cell-downgrading and reference-voltage adjustment for a multi-bit-cell flash memory
DE60024564T2 (de) 1999-11-01 2006-08-10 Koninklijke Philips Electronics N.V. Datenschaltung mit einem nicht flüchtigen Speicher und mit einer fehlerkorrigierenden Schaltung
US6226200B1 (en) 1999-11-17 2001-05-01 Motorola Inc. In-circuit memory array bit cell threshold voltage distribution measurement
EP1240715B1 (en) 1999-12-20 2008-11-12 Research In Motion Limited Hybrid automatic repeat request system and method
JP2001184881A (ja) 1999-12-28 2001-07-06 Toshiba Corp 不揮発性半導体メモリの読み出し回路
JP2001266498A (ja) 2000-03-23 2001-09-28 Sony Corp データ再生装置及びデータ再生方法、並びに、データ記録再生装置及びデータ記録再生方法
US6581182B1 (en) 2000-05-15 2003-06-17 Agere Systems Inc. Iterative decoding with post-processing of detected encoded data
DE60025197T2 (de) 2000-08-11 2006-06-29 Mitsubishi Electric Information Technology Centre Europe B.V. Adaptive Entzerrung und Dekodierung für gespreizte Kanalverzögerung
US6671852B1 (en) 2000-09-06 2003-12-30 Motorola, Inc. Syndrome assisted iterative decoder for turbo codes
US6516455B1 (en) 2000-12-06 2003-02-04 Cadence Design Systems, Inc. Partitioning placement method using diagonal cutlines
AU2002248558A1 (en) 2001-06-06 2002-12-16 Seagate Technology Llc A method and coding apparatus using low density parity check codes for data storage or data transmission
JP4191393B2 (ja) 2001-06-11 2008-12-03 富士通株式会社 情報記録再生装置及び方法並びに信号復号回路
US6522580B2 (en) 2001-06-27 2003-02-18 Sandisk Corporation Operating techniques for reducing effects of coupling between storage elements of a non-volatile memory operated in multiple data states
US6456528B1 (en) 2001-09-17 2002-09-24 Sandisk Corporation Selective operation of a multi-state non-volatile memory system in a binary mode
EP1461924A4 (en) 2001-11-29 2010-07-07 Qualcomm Inc METHOD AND APPARATUS FOR DETERMINING THE REAL-REASON LOGARITHM USING PRECODING
US6621739B2 (en) 2002-01-18 2003-09-16 Sandisk Corporation Reducing the effects of noise in non-volatile memories through multiple reads
US6850441B2 (en) 2002-01-18 2005-02-01 Sandisk Corporation Noise reduction technique for transistors and small devices utilizing an episodic agitation
US7020829B2 (en) 2002-07-03 2006-03-28 Hughes Electronics Corporation Method and system for decoding low density parity check (LDPC) codes
US20040015771A1 (en) 2002-07-16 2004-01-22 Menahem Lasser Error correction for non-volatile memory
US7046568B2 (en) 2002-09-24 2006-05-16 Sandisk Corporation Memory sensing circuit and method for low voltage operation
US7327619B2 (en) 2002-09-24 2008-02-05 Sandisk Corporation Reference sense amplifier for non-volatile memory
US7196931B2 (en) 2002-09-24 2007-03-27 Sandisk Corporation Non-volatile memory and method with reduced source line bias errors
US20040083334A1 (en) 2002-10-28 2004-04-29 Sandisk Corporation Method and apparatus for managing the integrity of data in non-volatile memory system
US6859397B2 (en) 2003-03-05 2005-02-22 Sandisk Corporation Source side self boosting technique for non-volatile memory
US7237074B2 (en) 2003-06-13 2007-06-26 Sandisk Corporation Tracking cells for a memory system
US7372731B2 (en) 2003-06-17 2008-05-13 Sandisk Il Ltd. Flash memories with adaptive reference voltages
US6917542B2 (en) 2003-07-29 2005-07-12 Sandisk Corporation Detecting over programmed memory
US7173852B2 (en) 2003-10-03 2007-02-06 Sandisk Corporation Corrected data storage and handling methods
US7012835B2 (en) 2003-10-03 2006-03-14 Sandisk Corporation Flash memory data correction and scrub techniques
US6999366B2 (en) 2003-12-03 2006-02-14 Hewlett-Packard Development Company, Lp. Magnetic memory including a sense result category between logic states
US7237181B2 (en) 2003-12-22 2007-06-26 Qualcomm Incorporated Methods and apparatus for reducing error floors in message passing decoders
US7555070B1 (en) 2004-04-02 2009-06-30 Maxtor Corporation Parallel maximum a posteriori detectors that generate soft decisions for a sampled data sequence
KR100703271B1 (ko) 2004-11-23 2007-04-03 삼성전자주식회사 통합노드 프로세싱을 이용한 저밀도 패리티 검사 코드복호 방법 및 장치
US7120051B2 (en) 2004-12-14 2006-10-10 Sandisk Corporation Pipelined programming of non-volatile memories using early data
US20060140007A1 (en) 2004-12-29 2006-06-29 Raul-Adrian Cernea Non-volatile memory and method with shared processing for an aggregate of read/write circuits
US7660368B2 (en) 2005-01-11 2010-02-09 Qualcomm Incorporated Bit log likelihood ratio evaluation
JP4595574B2 (ja) 2005-02-07 2010-12-08 ソニー株式会社 復号装置および方法、並びにプログラム
US7600177B2 (en) 2005-02-08 2009-10-06 Lsi Corporation Delta syndrome based iterative Reed-Solomon product code decoder
US7196928B2 (en) 2005-04-05 2007-03-27 Sandisk Corporation Compensating for coupling during read operations of non-volatile memory
FR2890806B1 (fr) 2005-09-09 2008-02-22 Thales Sa Procede d'amelioration de decodage iteratif de codes
JP2007087537A (ja) 2005-09-22 2007-04-05 Rohm Co Ltd 信号処理装置、信号処理方法、および記憶システム
JP4652939B2 (ja) 2005-09-22 2011-03-16 ローム株式会社 信号処理装置および記憶システム
JP4916691B2 (ja) 2005-09-22 2012-04-18 ローム株式会社 信号処理装置および記憶システム
JP2007087530A (ja) 2005-09-22 2007-04-05 Rohm Co Ltd 信号復号方法、信号復号装置および信号記憶システム
JP4916692B2 (ja) 2005-09-22 2012-04-18 ローム株式会社 信号処理装置、信号処理方法、および記憶システム
WO2007046349A1 (ja) 2005-10-18 2007-04-26 Nec Corporation Mram、及びその動作方法
JP4593668B2 (ja) * 2005-12-28 2010-12-08 サンディスク コーポレイション 不揮発性メモリにおける補償感知のための基準センス増幅器および方法
US8055979B2 (en) 2006-01-20 2011-11-08 Marvell World Trade Ltd. Flash memory with coding and signal processing
US7844879B2 (en) 2006-01-20 2010-11-30 Marvell World Trade Ltd. Method and system for error correction in flash memory
US7533328B2 (en) 2006-07-04 2009-05-12 Sandisk Il, Ltd. Method of error correction in a multi-bit-per-cell flash memory
US7522454B2 (en) * 2006-07-20 2009-04-21 Sandisk Corporation Compensating for coupling based on sensing a neighbor using coupling
US7886204B2 (en) 2006-09-27 2011-02-08 Sandisk Corporation Methods of cell population distribution assisted read margining
US7716538B2 (en) 2006-09-27 2010-05-11 Sandisk Corporation Memory with cell population distribution assisted read margining
TWI353521B (en) 2006-09-28 2011-12-01 Sandisk Corp Soft-input soft-output decoder for nonvolatile mem
US20080092015A1 (en) 2006-09-28 2008-04-17 Yigal Brandman Nonvolatile memory with adaptive operation
US7818653B2 (en) 2006-09-28 2010-10-19 Sandisk Corporation Methods of soft-input soft-output decoding for nonvolatile memory
US7805663B2 (en) 2006-09-28 2010-09-28 Sandisk Corporation Methods of adapting operation of nonvolatile memory
US7904783B2 (en) 2006-09-28 2011-03-08 Sandisk Corporation Soft-input soft-output decoder for nonvolatile memory
US8001441B2 (en) 2006-11-03 2011-08-16 Sandisk Technologies Inc. Nonvolatile memory with modulated error correction coding
US7904780B2 (en) 2006-11-03 2011-03-08 Sandisk Corporation Methods of modulating error correction coding
US7558109B2 (en) 2006-11-03 2009-07-07 Sandisk Corporation Nonvolatile memory with variable read threshold
US7814401B2 (en) 2006-12-21 2010-10-12 Ramot At Tel Aviv University Ltd. Soft decoding of hard and soft bits read from a flash memory
US7904793B2 (en) 2007-03-29 2011-03-08 Sandisk Corporation Method for decoding data in non-volatile storage using reliability metrics based on multiple reads
US7971127B2 (en) 2007-03-31 2011-06-28 Sandisk Technologies Inc. Guided simulated annealing in non-volatile memory error correction control
US7975209B2 (en) 2007-03-31 2011-07-05 Sandisk Technologies Inc. Non-volatile memory with guided simulated annealing error correction control
US7966546B2 (en) 2007-03-31 2011-06-21 Sandisk Technologies Inc. Non-volatile memory with soft bit data transmission for error correction control
US7966550B2 (en) 2007-03-31 2011-06-21 Sandisk Technologies Inc. Soft bit data transmission for error correction control in non-volatile memory
US20080247254A1 (en) 2007-04-05 2008-10-09 Hao Thai Nguyen Method for temperature compensating bit line during sense operations in non-volatile storage
WO2009145923A1 (en) 2008-05-30 2009-12-03 Aplus Flash Technology, Inc. Nand string based flash memory device, array and circuit having parallel bit lines and source lines
US7764544B2 (en) 2008-11-25 2010-07-27 Sandisk Corporation All-bit-line erase verify and soft program verify
US8107298B2 (en) * 2010-01-29 2012-01-31 Sandisk Technologies Inc. Non-volatile memory with fast binary programming and reduced power consumption
US8630125B2 (en) 2011-06-02 2014-01-14 Micron Technology, Inc. Memory cell sensing using a boost voltage
US9147480B2 (en) * 2011-12-16 2015-09-29 Macronix International Co., Ltd. Current sensing type sense amplifier and method thereof
JP2013232258A (ja) 2012-04-27 2013-11-14 Toshiba Corp 半導体記憶装置
JP2014179151A (ja) * 2013-03-15 2014-09-25 Toshiba Corp 半導体記憶装置
WO2015004708A1 (ja) * 2013-07-08 2015-01-15 株式会社 東芝 半導体記憶装置および記憶データの読み出し方法
US9520195B2 (en) * 2013-10-09 2016-12-13 Macronix International Co., Ltd. Sensing amplifier utilizing bit line clamping devices and sensing method thereof
US9082502B2 (en) 2013-10-10 2015-07-14 Sandisk Technologies Inc. Bit line and compare voltage modulation for sensing nonvolatile storage elements
US9548130B2 (en) 2015-04-08 2017-01-17 Sandisk Technologies Llc Non-volatile memory with prior state sensing
US9922719B2 (en) 2015-06-07 2018-03-20 Sandisk Technologies Llc Multi-VT sensing method by varying bit line voltage
US9747966B2 (en) 2015-08-25 2017-08-29 Toshiba Memory Corporation Semiconductor memory device for sensing memory cell with variable resistance
US9721671B2 (en) 2015-09-10 2017-08-01 Sandisk Technologies Llc Memory device which performs verify operations using different sense node pre-charge voltages and a common discharge period
US9721662B1 (en) 2016-01-13 2017-08-01 Sandisk Technologies Llc Non-volatile memory with efficient programming

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021178109A1 (en) * 2020-03-04 2021-09-10 Micron Technology, Inc. Modified write voltage for memory devices
US11170853B2 (en) 2020-03-04 2021-11-09 Micron Technology, Inc. Modified write voltage for memory devices
US11705197B2 (en) 2020-03-04 2023-07-18 Micron Technology, Inc. Modified write voltage for memory devices

Also Published As

Publication number Publication date
CN109841237B (zh) 2023-02-21
JP6672435B2 (ja) 2020-03-25
US10304550B1 (en) 2019-05-28
CN109841237A (zh) 2019-06-04
DE102018129517A1 (de) 2019-05-29
US20190164616A1 (en) 2019-05-30

Similar Documents

Publication Publication Date Title
JP6672435B2 (ja) 不揮発性メモリのための負の閾値感知を用いたセンスアンプ
JP6571295B2 (ja) プログラム性能の改良のためのプログラム検証中の注入型擾乱の制御がカスタマイズされる不揮発性メモリ
CN107958677B (zh) 具有智能温度感测和局部限制的非易失性存储器
CN109427396B (zh) 在非易失性存储器中确定快速编程字线
US10553301B2 (en) Dynamic read table block filter
US10482986B2 (en) Adaptive erase fail bit criteria
US10388390B2 (en) Word line dependent pass voltages in non-volatile memory
US10636498B1 (en) Managing bit-line settling time in non-volatile memory
US10515008B2 (en) Performance based memory block usage
US9704588B1 (en) Apparatus and method for preconditioning currents to reduce errors in sensing for non-volatile memory
US11031085B2 (en) Non-volatile memory with fast partial page operation
JP6832461B2 (ja) メモリセルゆがみの緩和
US11024392B1 (en) Sense amplifier for bidirectional sensing of memory cells of a non-volatile memory
US10971199B2 (en) Microcontroller for non-volatile memory with combinational logic
US10643695B1 (en) Concurrent multi-state program verify for non-volatile memory
US9672940B1 (en) Non-volatile memory with fast read process
KR102537090B1 (ko) 작은 스윙 전압 감지를 제공하는 감지 증폭기 아키텍처
US11043276B1 (en) Sense amplifier architecture providing improved memory performance
KR20230036954A (ko) 선택되지 않은 서브-블록들의 프로그램 종속 바이어싱
US20220399062A1 (en) Fast Sensing Scheme With Amplified Sensing and Clock Modulation
CN113517006B (zh) 提供小摆动电压感测的感测放大器架构
US20230402111A1 (en) Sense amplifier architecture providing reduced program verification time
US11869600B2 (en) Memory cell sensing by charge sharing between sensing nodes
US20230260584A1 (en) Audit techniques for read disturb detection in an open memory block
WO2024025658A1 (en) Early detection of programming failure for non-volatile memory

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190325

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20191127

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20191127

A871 Explanation of circumstances concerning accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A871

Effective date: 20191127

A975 Report on accelerated examination

Free format text: JAPANESE INTERMEDIATE CODE: A971005

Effective date: 20191128

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20200225

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20200304

R150 Certificate of patent or registration of utility model

Ref document number: 6672435

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250