JP2018529989A - スルホエステルのアンモニウム塩を含有する、欠陥を低減させるすすぎ液 - Google Patents

スルホエステルのアンモニウム塩を含有する、欠陥を低減させるすすぎ液 Download PDF

Info

Publication number
JP2018529989A
JP2018529989A JP2018502070A JP2018502070A JP2018529989A JP 2018529989 A JP2018529989 A JP 2018529989A JP 2018502070 A JP2018502070 A JP 2018502070A JP 2018502070 A JP2018502070 A JP 2018502070A JP 2018529989 A JP2018529989 A JP 2018529989A
Authority
JP
Japan
Prior art keywords
ammonium
hydroxyethyl
less
acid diester
group
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2018502070A
Other languages
English (en)
Other versions
JP6873100B2 (ja
JP2018529989A5 (ja
Inventor
ビットナー,クリスティアン
エター,ギュンター
ホンチウク,アンドレイ
クリップ,アンドレアス
ブラウン,ジモン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
BASF SE
Original Assignee
BASF SE
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by BASF SE filed Critical BASF SE
Publication of JP2018529989A publication Critical patent/JP2018529989A/ja
Publication of JP2018529989A5 publication Critical patent/JP2018529989A5/ja
Application granted granted Critical
Publication of JP6873100B2 publication Critical patent/JP6873100B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/02Anionic compounds
    • C11D1/12Sulfonic acids or sulfuric acid esters; Salts thereof
    • C11D1/123Sulfonic acids or sulfuric acid esters; Salts thereof derived from carboxylic acids, e.g. sulfosuccinates
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/425Stripping or agents therefor using liquids only containing mineral alkaline compounds; containing organic basic compounds, e.g. quaternary ammonium compounds; containing heterocyclic basic compounds containing nitrogen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/422Stripping or agents therefor using liquids only
    • G03F7/426Stripping or agents therefor using liquids only containing organic halogen compounds; containing organic sulfonic acids or salts thereof; containing sulfoxides
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors

Landscapes

  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Wood Science & Technology (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Detergent Compositions (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Cleaning By Liquid Or Steam (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)

Abstract

本発明は、スルホブタン二酸ジエステル、(スルホメチル)−ブタン二酸ジエステル、メチル−スルホブタン二酸ジエステル、スルホグルタル酸ジエステルおよびスルホトリカルバリン酸トリエステルからなる群から選択される、1種または複数の化合物の1種または複数のアンモニウム塩を含む組成物を使用する方法であって、基板、およびそれに支持されている、ライン幅が50nm以下のライン−スペース構造を有するパターン化材料層を含む製品を洗浄する、またはすすぐための、方法に関する。本発明は、基板、およびそれに支持されている、ライン幅が50nm以下のライン−スペース構造を有するパターン化材料層を含む洗浄した、またはすすいだ製品を作る対応する方法にも関する。本発明は、ハーゼン色数が1000未満および/または濁度が0.08から10NTUの範囲である溶液であって、水および1種または複数のアンモニウム塩、ならびに、任意に1種または複数の有機溶媒化合物を含む溶液にも関する。本発明は、対応する溶液を作る方法にも関する。

Description

本発明は、スルホブタン二酸ジエステル、(スルホメチル)−ブタン二酸ジエステル、メチル−スルホブタン二酸ジエステル、スルホグルタル酸ジエステルおよびスルホトリカルバリン酸トリエステルからなる群から選択される、1種または複数の化合物の1種または複数のアンモニウム塩を含む組成物を使用する方法であって、基板、およびそれに支持されている、ライン幅が50nm以下のライン−スペース構造を有するパターン化材料層を含む製品を洗浄する、またはすすぐための、方法に関する。本発明は、基板、およびそれに支持されている、ライン幅が50nm以下のライン−スペース構造を有するパターン化材料層を含む、洗浄した、またはすすいだ製品を作る、対応する方法にも関する。本発明は、ハーゼン色数が1000未満および/または0.08から10NTUの範囲の濁度の配合物にも関し、この配合物は、水および1種または複数のアンモニウム塩、ならびに、任意に1種または複数の有機溶媒化合物を含む。本発明は、対応する配合物を作る方法にも関する。本発明は、特許請求の範囲、続いて、その特定の実施形態で定義されており、本明細書において、以下でより詳細に記載されている。
本発明は、添付の特許請求の範囲および本明細書で定義されている。特に定めがなければ、本発明の好ましい態様は、本発明の他の好ましい態様との組合せを意味する。
集積回路(IC)と、大規模集積回路(LSI)、超大規模集積回路(very−large−scale integration)(VLSI)および超々大規模集積回路(ultra−large−scale integration)(ULSI)を製作するプロセスにおいて、パターン化フォトレジスト層、窒化チタン、タンタルもしくは窒化タンタルを含有する、またはそれらからなるパターン化バリア材料層、例えば変性ポリシリコンおよび二酸化ケイ素層の積層を含有する、またはそれからなるパターン化多積層材料層、ならびに、二酸化ケイ素もしくは低kもしくは超低k誘電体材料を含有する、またはそれからなるパターン化誘電体材料層のような、パターン化材料層は、フォトリソグラフィ技術により生成される。今日では、そのようなパターン化材料層は、22nmをさらに下回る寸法の、高アスペクト比を有する構造を含む。前述の説明は、本明細書において以下で定義されている発明にも適用される。
フォトリソグラフィは、マスクに切り込んだパターンが基板、例えば半導体ウエハに投影される方法である。半導体フォトリソグラフィは、典型的には、フォトレジストの層を半導体基板の上面に適用し、フォトレジストを、化学線、詳細には、例えば波長193nmのUV照射にマスクを通して曝露させる工程を含む。前述の原理は、本明細書に以下で記載されている発明にも適用される。193nmのフォトリソグラフィを22nmおよび15nm技術ノードに拡大適用するために、浸漬フォトリソグラフィは、高解像度化技術として開発された。この技術では、光学系の最終レンズとフォトレジスト表面の間における空隙は、1を超える屈折率を有する液体媒体、例えば、波長193nmに対して屈折率が1.44の超純水により置き換えられる。この技術は、本発明によるプロセスにも適用され得る、または、本明細書で以下に記載されている本発明の組成物または配合物と使用され得る。しかし、浸出、吸水およびパターン分解を避けるために、バリアコーティングまたは水耐性フォトレジストが使用されなければならない。
193nmでの浸漬リソグラフィに加えて、波長が顕著に短い他の照明技術は、20nmノード以下のプリントされる形状をさらにダウンスケーリングする必要性を満たす解決策と考えられている。eビーム曝露に加えて、波長がおよそ13.5nmの極端紫外線リソグラフィ(EUV)は、将来浸漬リソグラフィと置き換わる有望な候補とみられている。化学線への曝露後、後続のプロセスフローは、使用されるフォトリソグラフィの方法(例えばUVリソグラフィ、浸漬フォトリソグラフィまたは上に記載したEUVリソグラフィ)と無関係であり、したがって、本明細書で以下に記載されている本発明によるプロセスで使用され得る。
典型的には、高アスペクト比を有する構造、および50nm以下の幅を有する構造は、強力な光ビームを、光学的マスクを通してフォトレジスト(基板上の化学蒸着層)に当てることにより生成される。フォトリソグラフィプロセスの基本的な手順は、典型的には、いくつかのプロセス工程に分けられ、例えば多くのケースおよび状況では、以下のプロセス工程が区別される(が、他のケースでは、列挙されているプロセス工程に加えて、プロセス工程は省略、補正または挿入されることもある点に留意しなければならない):
1.ウエハを洗浄する工程
2.製造
3.フォトレジスト適用
4.曝露および曝露後のベーク
5.現像およびすすぎ
6.ハードベーク
7.追加プロセス、例えばプラズマエッチング
典型的には、デバイスを製作するための後続のプロセス工程が続く。
本発明による方法および使用は、好ましくは、基板およびそれに支持されているパターン化材料層を含む洗浄した、またはすすいだ製品を作るプロセスの一部であり、これは、上で論じられているプロセス工程の1つ、またはすべてを含む。上で開示されているフォトリソグラフィプロセスの基本的なプロセスに関して、本発明(の使用、方法および配合物)は、プロセス工程6に関する。
プロセス工程1(ウエハを洗浄する工程)では、ウエハの表面に吸収された物質(汚染物)が除去されるように、ウエハの表面に様々な化学処理を適用する。
プロセス工程2(製造)では、表面に吸収された湿度を除去するために、ウエハを少なくとも150℃に加熱し、続いて任意に、表面を不動態化する(「疎水化する)」(残留OH−基とメチル−基のキャッピングために)、ヘキサメチルジシラザン(HMDS)で処理する。不動態化された表面は、フォトリソグラフィプロセスの後段階で、ウエハ表面とフォトレジスト層の間において水の拡散を予防する目的を果たす。
プロセス工程3(フォトレジストの適用)では、フォトレジスト層を、スピンコーティングによりウエハに蒸着させる。このプロセス工程の詳細な説明および論考は、US4267212Aで開示されている。この層の厚さは、EUVレジスト用の約10nmからDUVレジスト用の約100nmまで変化させることができ、古いレジスト用およびマイクロマシンニング用の数マイクロメートルにまで到達させることができる。溶媒の蒸発後、蒸着させたフォトレジスト層は、任意に、典型的にはおよそ100℃の温度にて事前にベークする。
プロセス工程4では、強力な光ビームを、光学的マスクを通して、フォトレジスト層の特定の箇所のみを光に曝露するように当てる。フォトレジストの性質(ポジティブまたはネガティブ)に応じて、次のプロセス工程(現像する工程)で、フォトレジストの曝露した、または曝露していない領域を除去する。曝露後のベークは、レジストの化学増幅に役立てるために行われることが多い。
プロセス工程5(現像およびすすぎ)では、また、本発明に従って、現像液を、フォトレジストと接触させて、フォトレジスト層の曝露した(していない)領域を除去する。パターン化フォトレジスト層は、光学的マスク(ネガティブまたはポジティブ)のパターンに応じ、それに従って、ウエハ(基板)に留まる。典型的な現像液は、ポジティブトーンレジスト用では水酸化テトラメチルアンモニウム(TMAH)を含有し、ネガティブトーンレジスト用では有機溶媒を含有する。
レジスト上の現像液に適切な時間の後に、組成物をすすぐ工程(ウェットツーウェット)を適用して、特定の欠陥(例えばウォーターマーク欠陥、現像液の残留残渣、パターン崩壊)を予防する、除去する、または緩和する。任意に、現像液とすすぎ配合物の間に、追加の水ですすぐ工程を設けることも可能である。組成物をすすぐ工程の適用は、ライン幅が小さく高アスペクト比のライン−スペース構造を有する製品に対して特にいっそう適切である。次いで、基板は、典型的には、基板を次のプロセス工程に移した後で脱水機にかける。
プロセス工程6(ハードベーク)では、パターン化フォトレジスト層を支持するウエハは、典型的には120〜180℃の温度にて、任意に「ハードベークして」よい。ハードベーク後、残ったフォトレジスト層は固化するので、化学処理および/または物理的応力により耐性になる。
追加のプロセス工程7(例えばプラズマエッチング)は、フォトレジストの標的のアーキテクチャをウエハ基板内に移す。エッチング工程は、典型的には、誘電体および/またはハードマスク層(フォトレジスト層とウエハの間における酸化ケイ素もしくは低k層(例えばシリコーン酸化物、窒化チタン、低k層(炭素ドープ酸化ケイ素))を除去する。
しかし、曝露技術に関わりなく、小さいパターンの湿式化学処理は、複数の問題を伴う。技術が進歩し、寸法要件がますます厳しくなるにつれて、フォトレジストパターンは、比較的薄く、長いフォトレジストの構造または機構、すなわち、基板において高アスペクト比を有する機構を含むことが必要となる。これらの構造は、隣接したフォトレジスト機構の間における洗浄またはすすぎ液から残った液体または溶液の過剰な毛管力のため、詳細には洗浄する、またはすすぐプロセス中に、また、詳細には脱水プロセス中に、たわみおよび/または崩壊をきたす恐れがある。毛管力によって引き起こされる、小さい機構の間における最大応力σは、Namatsuら、Appl.Phys.Lett.66(20)、1995年に従って、以下のように説明できる:
Figure 2018529989
[式中、γは、流体の平衡表面張力であり、θは、自らでパターン化材料層を支持している基板上での流体の接触角であり、Dは、パターン化材料層の機構の間の距離(「スペース」とも呼ばれる)であり、Wは、パターン化材料層の機構の幅(ライン幅)であり、Hは、パターン化材料層の機構の高さである(パラメーターHおよびWは、アスペクト比を決定する)]。
洗浄する、およびすすぐ工程に対して、最大応力σを低くするアプローチの1つには、より疎水性にするために、修飾したポリマーを有するフォトレジストを使用することが挙げられ得る。しかし、このアプローチは、すすぎおよび洗浄溶液により、フォトレジストパターンの濡れ性を低下させる恐れがある。
最大応力を低下させる別のアプローチでは、流体の表面張力γ(動的および平衡表面張力の両方)を低下させなければならない。低下させるために、流体または液体界面活性剤の表面張力は、通常、前記流体または液体に付与されなければならない。
従来のフォトリソグラフィプロセスの別の問題は、レジストおよび光学解像度が限定されることによる、ラインエッジラフネス(LER)およびラインワイズラフネス(LWR)である。LERは、機構の理想的形態からの、水平方向および垂直方向のずれを含む。詳細には、限界寸法が収縮するので、LERは、より問題になり、悪影響、例えばトランジスタリーク電流の増加が生じ、したがってICデバイスの性能は低下する。
寸法が収縮することで、粒子の除去は、欠陥の低減を達成するために決定的な要因になる。後者は、光学デバイス、マイクロマシンおよび機械的精密デバイスの製作中に生成されるフォトレジストパターン、ならびに他のパターン化材料層にも適用される。
従来のフォトリソグラフィプロセスのさらなる問題は、ウォーターマーク欠陥が存在することである。ウォーターマークは、脱イオン水または欠陥すすぎ液(defect rinse solution)がフォトレジストの疎水性表面から振り落とせずに、フォトレジスト上に形成されることがある。ウォーターマークは、収率およびICデバイス性能に対して有害な効果を有する。
さらに別の問題は、いわゆる「Blob欠陥」の発生である。こうした欠陥はUV曝露および/またはフォトレジスト現像中に引き起こされ、フォトレジスト上の1つまたは複数の最上層、例えばポリマー層および感光層において、円形の「クレーター状」開口部の形態を有することが多い。小粒子または他の不溶性物質は、その開口部に閉じ込められ、粒子除去は非効率的になり得る、または開口部は遮られ得る。詳細には、疎水性分子の疎水性断片または凝集物は、こうした欠陥面において、またはその上で吸収され得る。こうした残留粒子、断片または凝集物は、プロセスの後段階で問題を引き起こす。
従来のフォトリソグラフィプロセスの別の問題は、フォトレジスト層またはパターン化材料層による溶媒の吸収度であり、これにより、これらの層の膨張が引き起こされる。ごく近接したパターン、詳細にはライン幅が50nm以下のライン−スペース構造を有するパターンは、したがって、膨張後に互いに直接接触するようになる。さらに、互いに直接接触して膨張したパターンは、続いて、現像し、製品、詳細には本発明による製品を洗浄した後、またはすすいだ後でさえも共に貼り付く。フォトレジスト膨張は、製品の、詳細には本発明による製品の達成可能な最小限のライン−スペース寸法をこのようにして限定する。
それに対応して、本発明の主な目的は、基板、およびそれに支持されている、ライン幅が50nm以下のライン−スペース構造を有するパターン化材料層を含む製品を洗浄する工程、またはすすぐ工程に使用できる組成物を提供することであった。前記組成物を使用する方法は、好ましくはパターン崩壊の予防、ラインエッジラフネスの低減、ウォーターマーク欠陥の予防もしくは低減、フォトレジスト膨張の予防もしくは低減、Blob欠陥の予防もしくは低減、および/または粒子除去を可能にするはずである。
それに対応して、本発明のさらなる目的は、基板、およびそれに支持されている、ライン幅が50nm以下のライン−スペース構造を有するパターン化材料層を含む洗浄した、またはすすいだ製品を作る、対応する方法を提供することであった。
それに対応して、本発明のさらなる目的は、ハーゼン色数が1000未満および/または0.08から10NTUの範囲の濁度の対応する配合物を提供することであった。
WO2012/101545A1は、「ライン−スペース寸法が50nm未満のパターンを有する集積回路を製作するための、少なくとも3個の短鎖ペルフルオロ化基を有する界面活性剤を使用する方法」(発明の名称)に関する。界面活性剤は、「親水性基」および「疎水性基」を含む。スルホン酸のナトリウム塩は、考えられる親水性基として開示されている。さらに、化学すすぎ液を現像されたパターン化フォトレジスト層に適用する工程を含む、フォトリソグラフィプロセスが開示されている。
WO2014/091363A1は、「ライン−スペース寸法が50nm以下のパターン化材料を処理する際に抗パターン崩壊を避けるための、界面活性剤および疎水化剤(hydrophobizer)を含む組成物を使用する方法」(発明の名称)に関する。2−スルホコハク酸エステルが、界面活性剤として開示されており、「第四級アルキルアンモニウム化合物」が、「疎水化剤」として開示されている。さらに、集積回路デバイス、光学デバイス、マイクロマシンおよび機械的な精密デバイスを製作するための方法が開示されている。
WO2004/044092A1は、「酸エッチング水溶液用のフッ素化界面活性剤」(発明の名称)に関する。スルホン酸の塩が、界面活性剤として開示されている。
US2010/0152081A1は、「フッ素系界面活性剤」(発明の名称)に関する。アリールスルホネート基を有する化合物が、「表面−活性化合物」として開示されている。
US4,072,632は、「食器洗い用組成物」(発明の名称)に関する。
US4,784,800は、「洗剤組成物」(発明の名称)に関する。
GB1527020は、「ジアルキルスルホスクシネートの製造」(発明の名称)に関する。
US3,577,348は、「トリクロロトリフルオロエタン水エマルション系」(発明の名称)に関する。
US2013/0225464A1は、「半導体デバイス基板の洗浄液および洗浄方法」(発明の名称)に関する。
US4,291,117は、「界面活性剤の新規な使用する方法を伴うプレートを作る方法」(発明の名称)に関する。
WO2012/134226A2は、「フォトリソグラフィ用洗浄液組成物」(発明の名称)に関する。
US4267212A WO2012/101545A1 WO2014/091363A1 WO2004/044092A1 US2010/0152081A1 US4,072,632 US4,784,800 GB1527020 US3,577,348 US2013/0225464A1 US4,291,117 WO2012/134226A2
Namatsuら、Appl.Phys.Lett.66(20)、1995年
本発明によれば、上で定義されている主な技術的問題は、基板、およびそれに支持されている、ライン幅が50nm以下のライン−スペース構造を有するパターン化材料層を含む製品を洗浄する、またはすすぐための、
スルホブタン二酸ジエステル、
(スルホメチル)−ブタン二酸ジエステル、
メチル−スルホブタン二酸ジエステル、
スルホグルタル酸ジエステル、
および
スルホトリカルバリン酸トリエステル
からなる群から選択される1種または複数の化合物の1種または複数のアンモニウム塩を含む組成物を使用する方法により解決される。
本明細書において、また、本発明に関して、「スルホブタン二酸」は、「スルホコハク酸」も指し、「スルホスクシネート」という用語は、「スルホブタン二酸」の脱プロトン化形態も指し、好ましくは「スルホスクシネート」という用語は、「スルホン酸基が脱プロトン化したスルホブタン二酸」も指す。
本明細書において、また、本発明に関して、「パターン化材料層」という用語は、基板上に支持されている層を指す。支持されている層は、ライン幅が50nm以下のライン−スペース構造を有する特定のパターンを有し、支持基板は、例えば、半導体ウエハである。基板、およびそれに支持されている、ライン幅が50nm以下のライン−スペース構造を有するパターン化材料層を含む製品(すなわち、本発明に従って処理が施される製品において)において、ライン幅と、2つの隣接したラインの間におけるスペースの幅の比は、好ましくは1:1より低く、より好ましくは1:2より低い。そのような「ライン幅対スペース幅」の低い比を有するパターン化材料層は、生成中にきわめて慎重な取扱いを必要とすることが、当業者により公知である。
パターン化材料層のライン−スペース構造のライン幅は、走査型電子顕微鏡法により、詳細には、Hitachi CG 4000を用いる走査型電子顕微鏡法により、容易に判定できる。
典型的には、本発明に従って処理が施されるパターン化材料層は、ポリマー性フォトレジストを支持体上に堆積させること、および後続して、マスクを通して、支持されているフォトレジスト層に化学線を曝露することにより形成される。現像液を用いて、曝露したフォトレジスト層を現像した後で、パターン化材料層が生じる。
一部のケースでは、曝露後のベーク(PEB)は、現像液を用いて現像する前に実施される。
典型的な現像液は、例えば、水酸化テトラメチルアンモニウム(TMAH)を含む水溶液である(WO2014/091363、23頁、10行目を参照されたい)。
本発明に従って使用される化合物(すなわち1種または複数のスルホブタン二酸ジエステル、(スルホメチル)−ブタン二酸ジエステル、メチル−スルホブタン二酸ジエステル、スルホグルタル酸ジエステル、スルホトリカルバリン酸トリエステルのアンモニウム塩)の合成は、典型的には、NaHSO、KHSO、NHHSOまたは(MeNCHCHOH)HSOの、α,β−不飽和カルボン酸のエステル基C−C二重結合への付加(任意に、続いてイオン交換工程)を含む。本発明に従って使用される化合物を製造する好ましい合成方法は、より詳細には、本明細書において以下の実施例に記載されている。
驚くべきことに、上で定義されている1種または複数のアンモニウム塩を含む組成物は、基板、およびそれに支持されている、ライン幅が50nm以下のライン−スペース構造を有するパターン化材料層を含む製品を洗浄する工程、またはすすぐ工程に特に適している。さらに、基板およびそれに支持されており、上で定義されている組成物で洗浄されている、またはすすがれているパターン化材料層を含む製品は、従来技術で公知の組成物で洗浄されている、またはすすがれているものよりも、支持基板の表面上および/または支持されているパターン化材料層に少ない残渣しか呈さない。さらに、本発明の配合物で洗浄する、またはすすぐ工程は、パターン崩壊を予防する(または低減させる)。したがって、上で定義されている組成物は、このようにして、IC製品の生成効率を上昇させるので産業において有益になる。
基板、およびそれに支持されている、ライン幅が50nm以下のライン−スペース構造を有するパターン化材料層を含む製品を洗浄する、またはすすぐ場合、プロトン化アンモニア(NH )、第一級アンモニウム、第二級アンモニウム、第三級アンモニウムおよび第四級アンモニウムからなる群から選択されるアンモニウムカチオンを含む、上で定義されている組成物を、本発明に従って使用する方法が好ましい。
1種超のアンモニウム塩の1種または少なくとも1種が、第一級アンモニウム、第二級アンモニウム、第三級アンモニウムまたは第四級アンモニウムカチオンを含み、カチオンは、アンモニウム窒素原子に結合している、非置換アルキルおよび置換アルキルからなる群から選択される1個または複数の置換基を有し、上記各アルキルは、分岐または直鎖状アルキルである、上で定義されている組成物を、本発明に従って使用する方法も好ましい。
上で定義されている(または上で好ましいと定義されている)第一級アンモニウム、第二級アンモニウム、第三級アンモニウムまたは第四級アンモニウムカチオンを含む組成物を使用する方法は、窒素原子に結合している置換基が、得られるカチオン、または個別の必要性に従って得られるすべての塩の特性を調整するので、好ましい。当業者は、個別の状況を考慮して、対応する塩の界面活性剤特性を調節するように、適切なアンモニウムカチオンを選択するであろう。
1種超のアンモニウム塩の1種または少なくとも1種が、メチル、エチル、1−プロピル、2−プロピル、1−ブチル、2−ブチル、1−ペンチル、2−ペンチル、3−ペンチル、1−ヘキシル、2−ヘキシル、3−ヘキシル、2−メチルプロパ−1−イル、2−メチルプロパ−2−イル、2−メチルブタ−1−イル、3−メチルブタ−1−イル、2−メチルブタ−2−イル、3−メチルブタ−2−イル、2−メチルペンタ−1−イル、2−メチルペンタ−2−イル、2−メチルペンタ−3−イル、3−メチルペンタ−1−イル、3−メチルペンタ−2−イル、3−メチルペンタ−3−イル、4−メチルペンタ−1−イルおよび4−メチルペンタ−2−イルからなる群から選択される1個または複数の置換基がアンモニウム窒素原子に結合している第四級アンモニウムカチオンを含み、これらの置換基のそれぞれが、非置換である、または酸素、硫黄、窒素、塩素もしくは臭素原子を含む1個または複数の基で置換されている、上で定義されている(または上で好ましいと定義されている)組成物の本発明に従って使用する方法が、より好ましい。
上に記載したアンモニウムイオンの窒素に結合している、そのような比較的短い非置換または置換アルキル基では、典型的には、対応するアンモニウム塩の適切な溶解度および良好な界面活性剤特性の両方が得られる。
1種超のアンモニウム塩の1種または少なくとも1種が、ヒドロキシ置換アルキルラジカルからなる群から選択される1個または複数の置換基がアンモニウム窒素原子に結合している第四級アンモニウムカチオンを含み、アルキルラジカルが、メチル、エチル、プロパ−1−イル、プロパ−2−イル、ブタ−1−イル、ブタ−2−イル、ペンタ−1−イル、ペンタ−2−イル、ペンタ−3−イル、ヘキサ−1−イル、ヘキサ−2−イル、ヘキサ−3−イル、2−メチルプロパ−1−イル、2−メチルプロパ−2−イル、2−メチルブタ−1−イル、3−メチルブタ−1−イル、2−メチルブタ−2−イル、3−メチルブタ−2−イル、2−メチルペンタ−1−イル、2−メチルペンタ−2−イル、2−メチルペンタ−3−イル、3−メチルペンタ−1−イル、3−メチルペンタ−2−イル、3−メチルペンタ−3−イル、4−メチルペンタ−1−イルおよび4−メチルペンタ−2−イルから選択され(すなわち所定のアルキルラジカルはそれぞれヒドロキシ基を持つ)、好ましくは1個または複数の置換基は、ヒドロキシメチル、2−ヒドロキシエタ−1−イル、2−ヒドロキシプロパ−1−イル、3−ヒドロキシプロパ−1−イル、1−ヒドロキシプロパ−2−イル、2−ヒドロキシブタ−1−イル、3−ヒドロキシブタ−1−イル、4−ヒドロキシブタ−1−イル、1−(ヒドロキシメチル)プロパ−1−イル、2−ヒドロキシ−1−メチルプロパ−1−イル、3−ヒドロキシ−1−メチルプロパ−1−イル、2−ヒドロキシ−2−メチルプロパ−1−イルおよび2−ヒドロキシ−1,1−ジメチルエタ−1−イルからなる群から選択され、より好ましくは、1個または複数の置換基は、2−ヒドロキシエタ−1−イルである、上で定義されている(または上で好ましいと定義されている)組成物を本発明に従って使用する方法が、より好ましい。
1種超のアンモニウム塩の1種または少なくとも1種が、
(a)非置換メチル、エチル、プロパ−1−イル、プロパ−2−イル、ブタ−1−イル、ブタ−2−イル、ペンタ−1−イル、ペンタ−2−イル、ペンタ−3−イル、ヘキサ−1−イル、ヘキサ−2−イル、ヘキサ−3−イル、2−メチルプロパ−1−イル、2−メチルプロパ−2−イル、2−メチルブタ−1−イル、3−メチルブタ−1−イル、2−メチルブタ−2−イル、3−メチルブタ−2−イル、2−メチルペンタ−1−イル、2−メチルペンタ−2−イル、2−メチルペンタ−3−イル、3−メチルペンタ−1−イル、3−メチルペンタ−2−イル、3−メチルペンタ−3−イル、4−メチルペンタ−1−イルおよび4−メチルペンタ−2−イルからなる群から選択される、1個、2個または3個の置換基、および
(b)置換メチル、エチル、プロパ−1−イル、プロパ−2−イル、ブタ−1−イル、ブタ−2−イル、ペンタ−1−イル、ペンタ−2−イル、ペンタ−3−イル、ヘキサ−1−イル、ヘキサ−2−イル、ヘキサ−3−イル、2−メチルプロパ−1−イル、2−メチルプロパ−2−イル、2−メチルブタ−1−イル、3−メチルブタ−1−イル、2−メチルブタ−2−イル、3−メチルブタ−2−イル、2−メチルペンタ−1−イル、2−メチルペンタ−2−イル、2−メチルペンタ−3−イル、3−メチルペンタ−1−イル、3−メチルペンタ−2−イル、3−メチルペンタ−3−イル、4−メチルペンタ−1−イルおよび4−メチルペンタ−2−イルからなる群から選択される、1個または複数の置換基
がアンモニウム窒素原子に結合している第四級アンモニウムカチオンを含み、
アンモニウム窒素原子に結合しているこれらの置換基のそれぞれが、酸素、硫黄、窒素、塩素または臭素原子を含む1個または複数の基で置換されており、
アンモニウム窒素原子に結合しているこれらの置換基のそれぞれが、好ましくは、ヒドロキシメチル、2−ヒドロキシエタ−1−イル、2−ヒドロキシプロパ−1−イル、3−ヒドロキシプロパ−1−イル、1−ヒドロキシプロパ−2−イル、2−ヒドロキシブタ−1−イル、3−ヒドロキシブタ−1−イル、4−ヒドロキシブタ−1−イル、1−(ヒドロキシメチル)プロパ−1−イル、1−ヒドロキシ−1−メチルプロパ−1−イル、2−ヒドロキシ−1−メチルプロパ−1−イル、3−ヒドロキシ−1−メチルプロパ−1−イル、2−ヒドロキシ−2−メチルプロパ−1−イルおよび2−ヒドロキシ−1,1−ジメチルエタ−1−イルからなる群から選択され、より好ましくは2−ヒドロキシエタ−1−イルであり、アンモニウム窒素原子に結合している置換基の合計数が2、3または4である、上で定義されている(または上で好ましいと定義されている)組成物を本発明に従って使用する方法が、より好ましい。
1種超のアンモニウム塩の1種または少なくとも1種が、
(a)非置換メチル、エチル、プロパ−1−イル、プロパ−2−イル、ブタ−1−イル、ブタ−2−イル、ペンタ−1−イル、ペンタ−2−イル、ペンタ−3−イル、ヘキサ−1−イル、ヘキサ−2−イル、ヘキサ−3−イル、2−メチルプロパ−1−イル、2−メチルプロパ−2−イル、2−メチルブタ−1−イル、3−メチルブタ−1−イル、2−メチルブタ−2−イル、3−メチルブタ−2−イル、2−メチルペンタ−1−イル、2−メチルペンタ−2−イル、2−メチルペンタ−3−イル、3−メチルペンタ−1−イル、3−メチルペンタ−2−イル、3−メチルペンタ−3−イル、4−メチルペンタ−1−イルおよび4−メチルペンタ−2−イルからなる群から選択され、好ましくは3個の置換基すべてがメチルである、3個の置換基、および
(b)メチル、エチル、プロパ−1−イル、プロパ−2−イル、ブタ−1−イル、ブタ−2−イル、ペンタ−1−イル、ペンタ−2−イル、ペンタ−3−イル、ヘキサ−1−イル、ヘキサ−2−イル、ヘキサ−3−イル、2−メチルプロパ−1−イル、2−メチルプロパ−2−イル、2−メチルブタ−1−イル、3−メチルブタ−1−イル、2−メチルブタ−2−イル、3−メチルブタ−2−イル、2−メチルペンタ−1−イル、2−メチルペンタ−2−イル、2−メチルペンタ−3−イル、3−メチルペンタ−1−イル、3−メチルペンタ−2−イル、3−メチルペンタ−3−イル、4−メチルペンタ−1−イルおよび4−メチルペンタ−2−イルからなる群から選択される、1個の置換基
がアンモニウム窒素原子に結合している第四級アンモニウムカチオンを含み、
アンモニウム窒素原子に結合しているこれらの置換基のそれぞれが、酸素、硫黄、窒素、塩素または臭素原子を含む1個または複数の基で置換されており、
アンモニウム窒素原子に結合しているこれらの置換基のそれぞれが、好ましくは、ヒドロキシメチル、2−ヒドロキシエタ−1−イル、2−ヒドロキシプロパ−1−イル、3−ヒドロキシプロパ−1−イル、1−ヒドロキシプロパ−2−イル、2−ヒドロキシブタ−1−イル、3−ヒドロキシブタ−1−イル、4−ヒドロキシブタ−1−イル、1−(ヒドロキシメチル)プロパ−1−イル、2−ヒドロキシ−1−メチルプロパ−1−イル、3−ヒドロキシ−1−メチルプロパ−1−イル、2−ヒドロキシ−2−メチルプロパ−1−イル、2−ヒドロキシ−1,1−ジメチルエタ−1−イルおよび5−ヒドロキシ−3−オキサ−ペンチルからなる群から選択され、置換基が、より好ましくは、2−ヒドロキシエタ−1−イルである、上で定義されている(または上で好ましいと定義されている)組成物を本発明に従って使用する方法が、より好ましい。
アンモニウムイオンの窒素に結合している短い置換アルキル基は、上に記載されているOH−基を有するアルキル基と組み合わせると、界面活性剤のアンモニウム塩の溶解度および界面活性化合物として作用する能力の両方で最適な値を有する。アンモニウム窒素原子の置換基の1個に結合しているOH−基は、本明細書に記載されている配合物の、(フォトレジスト)表面から粒子を溶解および除去する能力をさらに増強させる。
より具体的には、1種超のアンモニウム塩の1種または少なくとも1種が、
− トリス(2−ヒドロキシエチル)アンモニウム、
− ビス(2−ヒドロキシエチル)アンモニウム、
− トリス(2−ヒドロキシエチル)メチルアンモニウム、
− ビス(2−ヒドロキシエチル)ジメチルアンモニウム、
− 2−ヒドロキシエチルトリメチルアンモニウム(本明細書において以下で、「コリンカチオン」または「コリン」とも呼ばれる)、
− 2−ヒドロキシエチルトリエチルアンモニウム、
− 2−ヒドロキシプロピルトリメチルアンモニウム、
− ビス(2−ヒドロキシプロピル)ジメチルアンモニウム
および
− トリス(2−ヒドロキシプロピル)メチルアンモニウム
からなる群から選択される少なくとも1つのアンモニウムカチオンを含む、1種または複数のアンモニウム塩を含む組成物が、好ましくは使用される。
(好ましくは、本発明に従って使用される組成物に存在する)そのようなアンモニウム塩は、水溶液中で、より改善した溶解度を示す。周囲条件下での取扱いは、臭気がし得る低沸点化合物が生成されないのでより簡単になる。
上で定義されている(または上で好ましいと定義されている)(最も)好ましいアンモニウムカチオンは、本発明による基板、およびそれに支持されている、ライン幅が50nm以下のライン−スペース構造を有するパターン化材料層を含む製品を洗浄する工程、またはすすぐ工程に使用できる組成物を製造するために、他の(最も)好ましいアンモニウムカチオンと組み合わせることができる。
本明細書において、上で(最も)好ましいと定義されている1種のみのアンモニウムカチオンを使用することが特に好ましい。しかし、一部のケースでは、本明細書において、上で(最も)好ましいと定義されている1種超のアンモニウムカチオンを含む組成物を使用することが好ましい。
一部のケースでは、1種超のアンモニウム塩の1種または少なくとも1種が、一般式(1)
Figure 2018529989
[一般式(1)の各エステル基において、互いに独立して、
− nは0、1または2であり、好ましくはn=0であり、
− Xは水素、メチルまたはエチルであり、
− Rは、
− 非置換分岐アルキル、
− 非置換直鎖状アルキル、
− 置換直鎖状アルキル、
− 置換分岐アルキル、
− 非置換分岐アルケニル、
− 非置換直鎖状アルケニル、
− 置換直鎖状アルケニル、
および
− 置換分岐アルケニル
からなる群から選択される]
のエステル基2個または3個を有するアニオンを含む、上で定義されている(または上で好ましいと定義されている)組成物を本発明に従って使用する方法が、より好ましい。
一般式(1)によるエステル基は、R基が存在するため比較的疎水性であり(アニオン性スルホ基と比較して)、このようにR基が存在するのは、本明細書に記載されているアンモニウム塩の対応するアニオンが、界面活性剤として作用することが可能なためである。
本文に特に定めがなければ、n=0である一般式(1)のエステル基は、n=1またはn=2の一般式(1)のエステル基と比較して好ましい。これは、本文で好ましいと指定されているすべての種類の機構の組合せに当てはまる。
本発明によれば、一般式(1)のエステル基は、上で定義されている組成物のスルホブタン二酸、(スルホメチル)−ブタン二酸、メチル−スルホブタン二酸、スルホグルタル酸またはスルホトリカルバリン酸のエステル化したカルボン酸基である。
1種超のアンモニウム塩の1種または少なくとも1種が、一般式(1)のエステル基2個または3個を有するアニオンを含む、1種または複数のアンモニウム塩を含む組成物を使用する方法は、前記アンモニウム塩が、界面活性剤として作用し、したがって、残渣、例えば泥、粒子または堆積物の溶解に役立つだけではなく、組成物の平衡および動的表面張力さえも低下させ、ひいては、例えば、平衡表面張力の高い液体の毛管力が高いことによるパターン崩壊の危険性は避けられる、または低減するため、好ましい。アルキルまたはアルケニル部分は、上で定義されている一般式(1)のエステル基におけるR基としての使用が好ましい。例えば、非置換芳香族基をアルキルまたはアルケニル部分の代わりに、Rとして使用すると、やや高く、望ましくない平衡表面張力を示す界面活性剤が生じることが、比較実験により示されている。
さらに、また、何らかの理論により束縛されることを望むものではないが、一般式(1)のエステル基2個または3個を有するアニオンは、好ましくは、パターン化材料の表面に結合し、表面エネルギー(濡れ性、または疎水度)を変更し、その結果、すすぎフェーズの終わりに、表面エネルギーは、初めより低くなると考えられる。したがって、乾燥させる工程では、本発明に従って使用される組成物で処理されているパターン化材料の表面から、水を効率的に蒸発できる、または振り落とすことができる。
一般式(1)の各エステル基において、互いに独立して、Rが、1から18個、好ましくは5から13個、より好ましくは8から12個、最も好ましくは9から10個の範囲の合計数の炭素原子を有する、上で定義されている(または上で好ましいと定義されている)組成物を本発明に従って使用する方法が、特に好ましい。
そのような部分を有する対応するアンモニウム塩(界面活性剤)は、通常、溶解度と界面活性の間で良好な均衡を示す。
Rが、
− 非置換分岐アルキル
および
− 非置換分岐アルケニル
からなる群から選択される、上で定義されている(または上で好ましいと定義されている)組成物を本発明により使用する方法が、特に好ましい。
そのような分岐アルキルまたはアルケニル部分を有する、対応するアンモニウム塩(界面活性剤)は、通常、よりいっそう高い界面活性を示す。
多くのケースでは、一般式(1)の各エステル基において、互いに独立して
(a)(i)Rが、非置換分岐アルキルまたは非置換分岐アルケニルの主炭素鎖において、5から8個の炭素原子、好ましくは6から7個の炭素原子の範囲の、炭素原子の合計数を有し、
および/または
(ii)Rが、非置換分岐アルキルまたは非置換分岐アルケニルの側鎖において、1から4個の炭素原子、好ましくは2から3個の炭素原子の範囲の、炭素原子の合計数を有し、
および/または
(b)nが0であり、Rが、対応するカルボキシル基でエステル化したアルコールの残基であり、前記アルコールが、0.1から8、好ましくは1から5、より好ましくは1から3の範囲の分岐係数を有する、上で定義されている(または上で好ましいと定義されている)組成物を本発明により使用する方法が、最も好ましい。
そのような分岐アルキルまたはアルケニル部分を有する、対応するアンモニウム塩(界面活性剤)は、通常、好ましくは低い動的界面張力を示す。
詳細には、Rが、互いに独立して、
− 3,5,5−トリメチルヘキシル、
− 2−エチルヘキシル、
− 3,3−ジメチルブチル、
− 2,4,4−トリメチルペンチル、
− 2,2−ジメチルプロピル、
− 2−プロピルヘプチル、
− イソノニル、
− イソデシル、
− イソトリデシル、
− 2,6−ジメチル−4−ヘプチル、
− 1−イソブチル−3,5−ジメチルヘキシル、
− 5,7,7−トリメチル−2−(1,3,3−トリメチルブチル)オクチル、
− 2−プロピルペンチル、
− 1−エチル−2−メチルペンチル
および
− 6−メチル−2−ヘプチル
からなる群から選択される、上で定義されている(または上で好ましいと定義されている)組成物を本発明により使用する方法が、好ましい。
対応するアンモニウム塩(界面活性剤)は、界面においてきわめて短時間で、それら自体で集合し、十分な時間にわたってそこに留まり、これは、迅速なプロセスおよび記載されている用途に望ましい。
上に記載されているR基は、具体的には、n=0である式(1)のエステル基に好ましい。
一般式(1)の各エステル基における各Rが同一である、上で定義されている(または上で好ましいと定義されている)組成物を本発明により使用する方法が好ましい。一般式(1)の各エステル基における各Rが同一であり、n=0である、上で定義されている(または上で好ましいと定義されている)組成物を使用する方法が、よりいっそう好ましい。
対応するアンモニウム塩(界面活性剤)は、界面においてそれら自体で集合し、十分な時間にわたってそこに留まる傾向をいっそう強く示す。さらに、界面は、有効な手段で安定化する。
一般式(1)の各エステル基において各Rは同一である場合、アンモニウム塩は、都合がよく、時間効率がよい手法で合成できる。
上で定義されている(または上で好ましいと定義されている)組成物を本発明に従って使用する方法であって、組成物が、
− トリメチル−2−ヒドロキシエチルアンモニウムカチオンを含む1種または複数のアンモニウム塩、
ならびに、
− 以下のアニオン:
Figure 2018529989
の1つまたは複数を含み、R1が、
− 3,5,5−トリメチルヘキシル、
− 2−エチルヘキシル、
− 3,3−ジメチル−2−ブチル、
および
− 2,4,4−トリメチルペンチル
からなる群から選択される、方法が好ましい。
上記と同様に、一般式(1)のエステル基を有する(最も)好ましいアニオンは、基板、およびそれに支持されている、ライン幅が50nm以下のライン−スペース構造を有するパターン化材料層を含む製品を洗浄する、またはすすぐ工程に使用できる、上で定義されている組成物を製造するために、上で(最も)好ましいと定義されている1つまたは複数のアンモニウムカチオンと組み合わせることができる。
本発明の目的に関して、上で定義されている組成物の好ましいアンモニウム塩は、アニオンが、上で定義されているR1基を有するスルホブタン二酸ジエステル、(スルホメチル)−ブタン二酸ジエステル、メチル−スルホブタン二酸ジエステル、スルホグルタル酸ジエステル、またはスルホトリカルバリン酸トリエステルの構造を有し、カチオンは、上で定義されている(好ましい、または最も好ましい)第四級アンモニウムカチオンの構造を有するアンモニウム塩である。より詳細には、上で定義されている組成物に使用するための、好ましいカチオンと好ましいアニオンの組合せのさらなる(非限定的な)例は、以下に列挙される:
− 2−ヒドロキシエチル−トリメチルアンモニウムをカチオンとして、および3,5,5−トリメチルヘキシルスルホブタン二酸ジエステルをアニオンとして有する塩を含む、上で定義されている組成物を本発明に従って使用する方法。
− 2−ヒドロキシエチル−トリメチルアンモニウムをカチオンとして、および2−エチルヘキシルスルホブタン二酸ジエステルをアニオンとして有する塩を含む、上で定義されている組成物を本発明に従って使用する方法。
− 2−ヒドロキシエチル−トリメチルアンモニウムをカチオンとして、および3,3−ジメチル−2−ブチルスルホブタン二酸ジエステルをアニオンとして有する塩を含む、上で定義されている組成物を本発明に従って使用する方法。
− 2−ヒドロキシエチル−トリメチルアンモニウムをカチオンとして、および2,4,4−トリメチルペンチルスルホブタン二酸ジエステルをアニオンとして有する塩を含む、上で定義されている組成物を本発明に従って使用する方法。
− 2−ヒドロキシエチル−トリメチルアンモニウムをカチオンとして、および3,5,5−トリメチルヘキシル(スルホメチル)−ブタン二酸ジエステルをアニオンとして有する塩を含む、上で定義されている組成物を本発明に従って使用する方法。
− 2−ヒドロキシエチル−トリメチルアンモニウムをカチオンとして、および2−エチルヘキシル(スルホメチル)−ブタン二酸ジエステルをアニオンとして有する塩を含む、上で定義されている組成物を本発明に従って使用する方法。
− 2−ヒドロキシエチル−トリメチルアンモニウムをカチオンとして、および3,3−ジメチル−2−ブチル(スルホメチル)−ブタン二酸ジエステルをアニオンとして有する塩を含む、上で定義されている組成物を本発明に従って使用する方法。
− 2−ヒドロキシエチル−トリメチルアンモニウムをカチオンとして、および2,4,4−トリメチルペンチル(スルホメチル)−ブタン二酸ジエステルをアニオンとして有する塩を含む、上で定義されている組成物を本発明に従って使用する方法。
− 2−ヒドロキシエチル−トリメチルアンモニウムをカチオンとして、および3,5,5−トリメチルヘキシルメチル−スルホブタン二酸ジエステルをアニオンとして有する塩を含む、上で定義されている組成物を本発明に従って使用する方法。
− 2−ヒドロキシエチル−トリメチルアンモニウムをカチオンとして、および2−エチルヘキシルメチル−スルホブタン二酸ジエステルをアニオンとして有する塩を含む、上で定義されている組成物を本発明に従って使用する方法。
− 2−ヒドロキシエチル−トリメチルアンモニウムをカチオンとして、および3,3−ジメチル−2−ブチルメチル−スルホブタン二酸ジエステルをアニオンとして有する塩を含む、上で定義されている組成物を本発明に従って使用する方法。
− 2−ヒドロキシエチル−トリメチルアンモニウムをカチオンとして、および2,4,4−トリメチルペンチルメチル−スルホブタン二酸ジエステルをアニオンとして有する塩を含む、上で定義されている組成物を本発明に従って使用する方法。
− 2−ヒドロキシエチル−トリメチルアンモニウムをカチオンとして、および3,5,5−トリメチルヘキシルスルホグルタル酸ジエステルをアニオンとして有する塩を含む、上で定義されている組成物を本発明に従って使用する方法。
− 2−ヒドロキシエチル−トリメチルアンモニウムをカチオンとして、および2−エチルヘキシルスルホグルタル酸ジエステルをアニオンとして有する塩を含む、上で定義されている組成物を本発明に従って使用する方法。
− 2−ヒドロキシエチル−トリメチルアンモニウムをカチオンとして、および3,3−ジメチル−2−ブチルスルホグルタル酸ジエステルをアニオンとして有する塩を含む、上で定義されている組成物を本発明に従って使用する方法。
− 2−ヒドロキシエチル−トリメチルアンモニウムをカチオンとして、および2,4,4−トリメチルペンチルスルホグルタル酸ジエステルをアニオンとして有する塩を含む、上で定義されている組成物を本発明に従って使用する方法。
− 2−ヒドロキシエチル−トリメチルアンモニウムをカチオンとして、および3,5,5−トリメチルヘキシルスルホトリカルバリン酸トリエステルをアニオンとして有する塩を含む、上で定義されている組成物を本発明に従って使用する方法。
− 2−ヒドロキシエチル−トリメチルアンモニウムをカチオンとして、および2−エチルヘキシルスルホトリカルバリン酸トリエステルをアニオンとして有する塩を含む、上で定義されている組成物を本発明に従って使用する方法。
− 2−ヒドロキシエチル−トリメチルアンモニウムをカチオンとして、および3,3−ジメチル−2−ブチルスルホトリカルバリン酸トリエステルをアニオンとして有する塩を含む、上で定義されている組成物を本発明に従って使用する方法。
− 2−ヒドロキシエチル−トリメチルアンモニウムをカチオンとして、および2,4,4−トリメチルペンチルスルホトリカルバリン酸トリエステルをアニオンとして有する塩を含む、上で定義されている組成物を本発明に従って使用する方法。
組成物は水性組成物である、上で定義されている(または上で好ましいと定義されている)組成物を本発明に従って使用が、好ましい。水性組成物は、好ましくは、多くのケースで、界面活性剤と水性溶媒を組み合わせることで、イオン性、非イオン性疎水性および非イオン性親水性化合物または粒子の溶解度および分散効率は高まるので、洗浄する、またはすすぐ工程に使用される。水性組成物において、水の量は、組成物の合計量に対して、好ましくは50質量パーセント超、好ましくは80質量パーセント超、より好ましくは90質量パーセント超である。
水は、環境に配慮した非VOC(非揮発性有機化合物)溶媒なので、好ましい溶媒である。多くのケースでは、水は、有機溶媒とは異なり、パターン構造に膨張または溶解することによる影響を与えない。しかし、フォトレジストのタイプによっては、一部のケースで水が過剰な膨張を引き起こす。
− 1種または複数のアンモニウム塩のアンモニウムカチオンの合計量が、2×10−5から4×10−2mol/Lの範囲である
および/または
− 上で定義されている1種または複数のアンモニウム塩のアニオンの合計量が、2×10−5から4×10−2mol/Lの範囲である、上で定義されている(または上で好ましいと定義されている)組成物を本発明に従って使用する方法が好ましい
カチオンおよびアニオンの上で定義されている濃度により、典型的には、以下のパラメーター/特性の最適な組合せ:(i)欠陥を引き起こす恐れがある、ウエハ表面における大きい界面活性剤凝集物の形成(の減少)、(ii)適用中の泡体積(の縮小)、ならびに(iii)得られる組成物の洗浄またはすすぎ特性の改善が示される。
組成物が、臨界ミセル濃度で判定して、35mN/m未満、好ましくは30mN/m未満、より好ましくは27mN/m未満、最も好ましくは25mN/m未満の平衡表面張力を有する、上で定義されている(または上で好ましいと定義されている)組成物を本発明に従って使用する方法が、多くのケースで好ましい。
組成物の平衡表面張力が低くなるほど、毛管力が低くなって、パターン崩壊が予防される、または低減する(先に言及したNamatsuら、Appl.Phys.Let.66(20)、1995年による)。特定の平衡表面張力を有するそのような組成物を、本発明に従って使用する方法のさらなる利点は、nm規模でライン−スペース構造(パターン)を有するパターン化材料層の透過および洗浄がきわめて効率的になることである。
比較のために、Roempp−Lexikon Chemie、第10版、1999年、第6巻、4434頁以下、「Tenside(=界面活性剤)」の項目、「Tab.5」によれば、典型的な平衡表面張力は、炭化水素に対する界面活性剤については、25から40mN/mの範囲である一方、ペルフルオロ化界面活性剤は、通常、15から25mN/mの平衡表面張力を示す。本文において以下の表1で見られるように、上で定義されているアンモニウム塩を含む溶液は、ペルフルオロ化界面活性剤にきわめて近い、またはその範囲でさえある平衡表面張力を有する。例えば、2−ヒドロキシエチル−トリメチルアンモニウムジ(3,5,5−トリメチルヘキシル)スルホブタン二酸ジエステルを含む水溶液は、ミセル濃度で24mN/m未満の平衡表面張力を有する。ペルフルオロ化界面活性剤は、通常、生物濃縮の危険性が高く、生分解性の程度が低いので、ペルフルオロ化界面活性剤(例えばペルフルオロオクタンスルホン酸およびその塩)の使用は、望ましくないが、その理由は、環境プロファイルが望ましくないためである:Environ Health Perspect.2010年8月;118(8):1100〜1108頁を参照されたい。
当業者は、慣例に従って、平衡表面張力を調整する。
前記洗浄する、またはすすぐ工程は、集積回路デバイス、光学デバイス、マイクロマシンまたは機械的精密デバイスを作るプロセスの一部である、上で定義されている(または上で好ましいと定義されている)組成物を本発明に従って使用が好ましい。
組成物は、基板、およびそれに支持されている、ライン幅が50nm以下のライン−スペース構造を有するパターン化材料層を含む製品を洗浄する工程、またはすすぐ工程に使用され、その結果、パターン崩壊が予防され、ラインエッジラフネスが低減し、ウォーターマーク欠陥が予防もしくは除去され、フォトレジスト膨張が予防または除去され、Blob欠陥が予防され、もしくは低減し、かつ/または粒子が除去される、上で定義されている(または上で好ましいと定義されている)組成物を本発明に従って使用する方法が好ましい。先に言及した不具合または効果が存在すると、ICデバイス、詳細には、集積回路デバイスに、光学デバイス、マイクロマシンまたは機械的精密デバイスのようなデバイスに関しての性能に悪影響が生じると考えられ、それに応じて、本発明(こうした不具合または効果を避けるのに役立つ)は、産業上の価値が高くなる。
ライン幅が50nm以下のライン−スペース構造を有するパターン化材料層が、現像されたパターン化フォトレジスト層、パターン化バリア材料層、パターン化多積層材料層およびパターン化誘電体材料層からなる群から選択される、上で定義されている(または上で好ましいと定義されている)組成物を本発明に従って使用する方法が、多くのケースで好ましい。
現像されたパターン化フォトレジスト層、パターン化バリア材料層、パターン化多積層材料層およびパターン誘電体材料層は、特にパターン崩壊、ラインエッジラフネスの低減およびフォトレジスト膨張を起こしやすい。こうした悪影響を避けることにより、機能不良デバイスが生成される数はかなり減少するので、デバイス性能ならびに生産高が改善される。
特に、パターン化材料層は、ライン幅が32nm以下、好ましくは22nm以下のライン−スペース構造を有する、上で定義されている(または上で好ましいと定義されている)組成物を本発明に従って使用する方法が好ましい。ライン幅が30nm以下、好ましくは22nm以下のライン−スペース構造を有するパターン化材料層は、特に、洗浄またはすすぎ中にパターン崩壊を起こしやすいので、上で定義されている組成物で、好ましくは、臨界ミセル濃度で判定して35mN/m未満、好ましくは30mN/m未満、より好ましくは25mN/m未満の平衡表面張力を有する、上で定義されている組成物で、洗浄し、すすがれることが好ましい。特定の平衡表面張力を有するそのような組成物を本発明に従って使用する方法の利点は、上で挙げられている。
パターン化材料層が、非フォトレジスト構造では10超のアスペクト比を有し、フォトレジスト構造では2超のアスペクト比を有する、上で定義されている(または上で好ましいと定義されている)組成物を本発明に従って使用する方法が好ましい。非フォトレジスト構造では10超のアスペクト比を有し、フォトレジスト構造では2超のアスペクト比を有する材料層は、特に、洗浄またはすすぎ中にパターン崩壊を起こしやすいので、上で定義されている組成物で、好ましくは、臨界ミセル濃度で判定して35mN/m未満、好ましくは30mN/m未満、より好ましくは25mN/m未満の平衡表面張力を有する、上で定義されている組成物で、洗浄し、すすがれることが好ましい。そのような組成物の利点は、パターン崩壊が特に低減する、または避けられることである。
パターン化材料層のアスペクト比は、Hitachi CG 4000を用いる走査型電子顕微鏡法により、容易に判定できる。
本発明は、基板、およびそれに支持されている、ライン幅が50nm以下のライン−スペース構造を有するパターン化材料層を含む洗浄し、すすいだ製品を作る方法であって、
以下の工程:
− 基板、およびそれに支持されている、ライン幅が50nm以下のライン−スペース構造を有するパターン化材料層を含む製品を製造する、または得る工程、
− 上で定義されている、かつ/または特許請求の範囲で定義されている組成物を製造する、または得る工程、
ならびに
− 前記組成物を有する前記製品を洗浄し、またはすすぎ、その結果、洗浄した、またはすすいだ製品が生じる工程
を含む方法にも関する。
一般的に、本明細書において上で論じられている本発明のすべての態様は、1種または複数のアンモニウム塩を含む組成物の本発明の使用する方法に関して、本発明の方法を準用する。また、同様に、本明細書において以下で論じられている洗浄またはすすいだ製品を作る、本発明の方法のすべての態様は、1種または複数のアンモニウム塩を含む組成物を本発明に従って使用する方法に準用する。
本発明の方法において、基板、およびそれに支持されている、ライン幅が50nm以下のライン−スペース構造を有するパターン化材料層を含む前記製品を得る工程は、好ましくは、フォトリソグラフィの方法を含む。フォトリソグラフィ法に関しては、一般に、また、そのようなフォトリソグラフィ法の特定の実施形態に関しては、上で論じられている特許文献および非特許文献で言及される。本発明の方法の特定の例は、以下で挙げられている。
以下の工程:
− 液浸フォトレジスト、EUVフォトレジストまたはeビームフォトレジスト層を有する基板を用意する工程、
− 浸漬液の有無に関わらず、マスクを通して、フォトレジスト層を化学線に曝露させる工程、
− 曝露したフォトレジスト層を現像液で現像して、ライン幅が50nm以下のライン−スペース構造を有するパターンを得、その結果、基板、およびそれに支持されている、ライン幅が50nm以下のライン−スペース構造を有するパターン化材料層を含む製品が得る工程、
− 前記組成物を有する前記製品を洗浄し、またはすすぎ、その結果、洗浄した、またはすすいだ製品が得る工程、
ならびに任意に
− 前記洗浄した、またはすすいだ製品を、好ましくは脱水機にかけることにより、またはマランゴニ効果を応用する乾燥プロセスにより乾燥させる工程
を含む、上に記載した(または上で好ましいと定義されている)方法が、好ましい。
組成物は、本発明に従ってする方法に関して、本明細書において上で定義されている通りであり、かつ/または(好ましくは「かつ」)パターン化材料層は、本発明による方法に関して、上で定義されている通りである、上に記載した(または上で好ましいと定義されている)洗浄した、またはすすいだ製品を作る方法が、特に好ましい。
驚くべきことに、基板、およびそれに支持されている、ライン幅が50nm以下のライン−スペース構造を有するパターン化材料層を含む製品を洗浄する、またはすすぐための、本発明に従って使用する方法に関して、本明細書において上で定義されている組成物を使用すると、満足できる結果が達成されることが見出されている。産業上の要求に応じて、ライン幅が15nm以下、詳細には32nm以下、より詳細には22nm以下のライン−スペース構造を有するパターン化材料層を生成する場合は、最新式のICデバイスについての仕様を満たすために、例えばパターン崩壊、ラインエッジラフネスの低減、ウォーターマーク欠陥、フォトレジスト膨張、Blob欠陥または残留粒子を、それぞれ最小限に留める、または除去する必要がある。
本発明は、好ましくは、基板、およびそれに支持されている、ライン幅が50nm以下のライン−スペース構造を有するパターン化材料層を含む製品を洗浄する工程、またはすすぐ工程に使用のための配合物であって、
− 水
および
− 上で定義されている1種または複数のアンモニウム塩、および任意に
− 1種または複数の有機溶媒化合物
を含み、
ハーゼン色数が1000未満、好ましくは100未満、より好ましくは50未満、
および/または
濁度が0.08から10NTUの範囲の、好ましくは0.2から5NTUの範囲の、より好ましくは0.2から1NTUの範囲の濁度である、
配合物にも関する。
NTU値は、EPA方法180.1に従って、詳細にはHACH LANGE 2100 Gという機器を用いて判定されるべきである。
HAZEN数(APHA数としても公知であり)は、DIN EN 150 6271−2方法に従って、詳細にはLange LICO 400という機器を用いて判定されるべきである。
本発明による配合物は、本発明に従って使用するための、および本発明の方法で使用するための好ましい組成物である。本発明による配合物は、溶液または懸濁液である。粒子が配合物に存在する場合、それらの濃度は、HAZEN数およびNTU値が上で挙げられている程度になるように低くすべきである。以下で定義されている好ましい配合物は、本発明に従って使用するために、および本発明の方法で使用するために特に好ましい。
多くのケースでは、また、本発明に従って使用する方法、および本発明の方法に関する多くの目的に関しては、上で定義されている前記アンモニウム塩の総濃度が、詳細には本発明に従って使用する方法に関して、2×10−6から4×10−2mol/Lの範囲である、上で定義されている(または上で好ましいと定義されている)配合物が好ましい。カチオンおよびアニオンの上述の濃度により、典型的には、以下のパラメーター/特性の最適な組合せ:(i)欠陥を引き起こす恐れがある、ウエハ表面における大きい界面活性剤凝集物の形成(の減少)、(ii)適用中の泡体積(の縮小)、および(iii)得られる組成物の洗浄またはすすぎ特性の改善が示される。
1種または複数の化合物の1種または複数のアンモニウム塩が、
(スルホメチル)−ブタン二酸ジエステル、
メチル−スルホブタン二酸ジエステル、
スルホグルタル酸ジエステル、
および
スルホトリカルバリン酸トリエステル
からなる群から選択される1種または複数の化合物のアンモニウム塩である、上に記載されている(または好ましいと上に記載されている)本発明による配合物がより好ましい。
1種超のアンモニウム塩の1種または少なくとも1種が、
− トリス(2−ヒドロキシエチル)アンモニウム、
− ビス(2−ヒドロキシエチル)アンモニウム、
− トリス(2−ヒドロキシエチル)メチルアンモニウム、
− ビス(2−ヒドロキシエチル)ジメチルアンモニウム、
− 2−ヒドロキシエチル−トリメチルアンモニウム、
− 2−ヒドロキシエチル−トリエチルアンモニウム、
− 2−ヒドロキシプロピル−トリメチルアンモニウム、
− ビス(2−ヒドロキシプロピル)ジメチルアンモニウム、
および
− トリス(2−ヒドロキシプロピル)メチルアンモニウム
からなる群から選択される少なくとも1つのアンモニウムカチオンを含む、上に記載されている(または好ましいと上に記載されている)本発明による配合物がより好ましい。
1種超のアンモニウム塩の1種または少なくとも1種が、
− トリス(2−ヒドロキシエチル)アンモニウム、
− ビス(2−ヒドロキシエチル)アンモニウム、
− トリス(2−ヒドロキシエチル)メチルアンモニウム、
− ビス(2−ヒドロキシエチル)ジメチルアンモニウム、
− 2−ヒドロキシエチル−トリメチルアンモニウム、
− 2−ヒドロキシエチル−トリエチルアンモニウム、
− 2−ヒドロキシプロピル−トリメチルアンモニウム、
− ビス(2−ヒドロキシプロピル)ジメチルアンモニウム、
および
− トリス(2−ヒドロキシプロピル)メチルアンモニウム
からなる群から選択される少なくとも1つのアンモニウムカチオンを含み、
1種または複数の化合物の1種または複数のアンモニウム塩が、
(スルホメチル)−ブタン二酸ジエステル、
メチル−スルホブタン二酸ジエステル、
スルホグルタル酸ジエステル、
および
スルホトリカルバリン酸トリエステル
からなる群から選択される1種または複数の化合物のアンモニウム塩である、上に記載されている(または好ましいと上に記載されている)本発明による配合物がより好ましい。
− 合計数1から8個の炭素原子(3から6個の炭素原子がより好ましい)を有するアルコール、
− アルキルエチレングリコール、
− アルキルジエチレングリコール、
− アルキルトリエチレングリコール、
− アルキルプロピレングリコール、
− アルキルジプロピレングリコール
および
− アルキルトリプロピレングリコール
からなる群から選択される有機溶媒化合物を含み、
上記各アルキルが、1から7個の範囲の炭素原子の合計数を有する、上に記載されている(または好ましいと上に記載されている)本発明による配合物がよりいっそう好ましい。
こうした溶媒が、上で定義されている(すなわち本発明に従って使用される組成物に存在する)アンモニウム塩の、水中における溶解度を改善することが利点である。さらに、配合物は、好ましくは、上で定義されているアンモニウム塩および上で言及されている溶媒、ならびに配合物(すなわち濃縮物)の合計量に対して5質量%未満の水を使用した活物質(アンモニウム塩、および任意に、さらなる活物質、特に界面活性剤材料)の含有量が高い液体濃縮物である。そのような濃縮物における界面活性剤の含有量は、30質量%超であり、40質量%超がより好ましく、50質量%以上が最も好ましい(残りは、上に記載した溶媒および5質量%未満の水であり、任意に、配合物(濃縮物)は、合成手順に使用される1種または複数の塩をさらに含有する)。好ましくは、20℃および10Hzでのそのような濃縮物の粘度は、1000mPas未満であり、500mPas未満がより好ましい(粘度は、Anton Paar Rheolab QCという機器で測定できる)。そのような液体濃縮物は、容易に運搬でき、取り扱いでき、本発明に従って使用する前に水(電子グレード)で希釈できる。対照的に、そのような溶媒が存在しない水中の界面活性剤濃縮物は、一部のケースで、例えば、界面活性剤濃度が40質量%超であれば、20℃にて高度に粘性のあるゲルが形成される。そのような高度に粘性のある濃縮物は、粘度を低下させるため、および都合よく取り扱うことを可能にするために、加熱が必要になることがある。
配合物の合計質量に対して、5ppm未満、好ましくは1ppm未満、より好ましくは0.05ppm未満、よりいっそう好ましくは5ppb未満、最も好ましくは3ppb未満の金属カチオンを含む、上に記載されている(または好ましいと上に記載されている)本発明による配合物が、特に好ましい。そのような本発明の好ましい配合物の利点は、望ましくない金属および金属イオンが、集積回路に組み込まれず、その結果、それに対応する欠陥が生じ得ないことである。
最大サイズ3μm未満の、(単一の)粒子のみを含有する(すなわち、3μm以上の最大サイズの粒子は存在しない)、上に記載されている(または好ましいと上に記載されている)本発明による配合物も好ましい。最大サイズ300mm未満の、(単一の)粒子のみを含有する(すなわち、300μm以上の最大サイズの粒子は存在しない)、上に記載されている(または好ましいと上に記載されている)本発明による配合物もより好ましい。
最大サイズ30nm未満の、(単一の)粒子のみを含有する(すなわち、30nm以上の最大サイズの粒子は存在しない)、上に記載されている(または好ましいと上に記載されている)本発明による配合物もよりいっそう好ましい。これは、例えば、孔径が直径30nm未満のフィルターを通して希釈した配合物を濾過することにより達成できる(任意に、このプロセスが数回繰り返される)。
本明細書において上で記載されている本発明による配合物は、好ましくは、アルキルベタイン、アルキルアミドプロピルベタイン、アンホアセテート、酸化アミンおよびアルキルポリグルコシドからなる群から選択される少なくとも1種の補助界面活性剤を含有し、アルキル基は、合計数6から16個の炭素原子、好ましくは合計数8から12個の炭素原子を有する。
界面活性剤溶液は、好ましくは上に記載されている(または好ましいと上に記載されている)配合物として、上に記載した、(特に)改善された洗浄およびすすぎ効果の利点を有する。
本明細書において上で記載されている(または好ましいと上で記載されている)本発明による配合物は、より好ましくは、
− ココベタイン、
− ココアミドプロピルベタイン、
− ココアンホアセテート
− ココアンホジアセテート
− ラウリルジメチルアミンオキシド
− ミリスチルジメチルアミンオキシド
− ラウラミンオキシド
および
− ココアミドプロピルアミノオキシド
からなる群から選択される少なくとも1種の補助界面活性剤を含有する。
上で言及されている補助界面活性剤の1種または複数を含有する、本発明による配合物の利点は、例えば、
(i)本発明に従って使用される組成物のアンモニウム塩の溶解度がより高いこと
および/または
(ii)上に記載されている洗浄およびすすぎ効果が改善されること
である。
本発明は、上に記載されている(または好ましいと上に記載されている)配合物であって、好ましくは、基板、およびそれに支持されている、ライン幅が50nm以下のライン−スペース構造を有するパターン化材料層を含む製品を洗浄する工程、またはすすぐ工程に使用するための配合物を作る方法であって、少なくとも以下の工程:
a)スルホブタン二酸ジエステルアニオン、
(スルホメチル)−ブタン二酸ジエステルアニオン、
メチル−スルホブタン二酸ジエステルアニオン、
スルホグルタル酸ジエステルアニオン、
および
スルホトリカルバリン酸トリエステルアニオン
からなる群から選択される1種または複数の対応するジエステルまたはトリエステルアニオンが得られるように、亜硫酸水素塩アニオンの、1種または複数のα,β−不飽和エステルの二重結合への付加を含む手順により、上に記載されている(または好ましいと上に記載されている)1種または複数のアンモニウム塩を合成する工程、
b)配合物を得るために、工程a)で合成された1種もしくは複数の前記アンモニウム塩と、水および/または上に記載されている1種もしくは複数の有機溶媒を混合する工程、
c)任意に、工程b)で得られた配合物を、孔径が直径30nm未満のフィルターを通して濾過する工程
を含む方法にも関する。
一般的に、
1種もしくは複数のアンモニウム塩を含む本発明の組成物を使用する方法、
洗浄した、もしくはすすいだ製品を作る、本発明による方法、
および/または
本発明による配合物に関して、本明細書において上で論じられている本発明のすべての態様は、
本発明に従って配合物を作る方法を準用する。また、同様に、本明細書において以下で論じられている配合物を作る本発明の方法のすべての態様は、
1種もしくは複数のアンモニウム塩を含む、本発明の組成物を使用する方法、
洗浄した、もしくはすすいだ製品を作る本発明による方法、
および/または
本発明による配合物を準用する。
多くのケースでは、工程a)において、
− 亜硫酸水素塩アニオンの対カチオンは、亜硫酸水素塩アニオンの、1つもしくは複数のα,β−不飽和エステルの前記二重結合への前記添加後、上に記載されている(もしくは好ましいと上に記載されている)1種もしくは複数のアンモニウム塩が構成されるように、上に記載されている(もしくは好ましいと上に記載されている)アンモニウムカチオンであり、
または
− 亜硫酸水素塩アニオンの対カチオンは、トリス(2−ヒドロキシエチル)アンモニウム、ビス(2−ヒドロキシエチル)アンモニウム、トリス(2−ヒドロキシエチル)メチルアンモニウム、ビス(2−ヒドロキシエチル)ジメチルアンモニウム、2−ヒドロキシエチル−トリメチルアンモニウム、2−ヒドロキシエチル−トリエチルアンモニウム、2−ヒドロキシプロピル−トリメチルアンモニウム、ビス(2−ヒドロキシプロピル)ジメチルアンモニウムおよびトリス(2−ヒドロキシプロピル)メチルアンモニウムからなる群から選択されるアンモニウムカチオンではなく、対カチオンは、上で定義されている(もしくは好ましいと上に記載されている)1種もしくは複数のアンモニウム塩が構成されるように、後続の工程において、これらのアンモニウムカチオンの1つもしくは複数に交換され、
または
− 亜硫酸水素塩アニオンの対カチオンは、アンモニウムカチオンではなく、対カチオンは、上に記載されている(もしくは好ましいと上に記載されている)1種もしくは複数のアンモニウム塩が構成されるように、後続の工程においてアンモニウムカチオンに交換される、
上で定義されている方法が好ましい。
標的製品は、いくつかの合成工程でしか合成されず、ひいては、合成に必要な反応器および/またはプラント設備は減少するので、上に記載されている(または好ましいと上に記載されている)配合物を作る方法が有利である。
工程a)を含み、
後続の工程において、
対カチオンは、上で好ましいと記載されている使用に関して定義されているアンモニウムカチオンに交換され、
その結果、上で好ましいと記載されている使用に関して定義されている1種または複数のアンモニウム塩が構成される、
上で好ましいと定義されている配合物を作る方法が好ましい。
得られる1つまたは複数の対応するジエステルまたはトリエステルアニオンが、
(スルホメチル)−ブタン二酸ジエステルアニオン、
メチル−スルホブタン二酸ジエステルアニオン、
スルホグルタル酸ジエステルアニオン、
および
スルホトリカルバリン酸トリエステルアニオン
からなる群から選択される、上に記載されている(または好ましいと上に記載されている)配合物を作る方法が、好ましい。
c)工程b)で得られた配合物を、孔径が直径30nm未満のフィルターを通して濾過する工程、
および、さらなる工程として
d)濾過した配合物を追加の水と混合して、希釈した水性配合物を得る工程
を含む、上に記載されている(または好ましいと上に記載されている)配合物を作る方法が好ましい。
孔径が直径30nm未満のフィルターで濾過することにより、普通なら製品(曝露し、現像されたフォトレジスト)の表面上に留まるはずの大きい粒子を除去する前に、前記製品を、上に記載されている(または好ましいと上に記載されている)組成物で洗浄する、またはすすぐ。
詳細には、添加される水は、電子グレードの水である、記載されている(または上で好ましいと定義されている)配合物を作る方法が、好ましい。
電子グレードの水を、上に記載されている(または好ましいと上に記載されている)配合物に添加する工程は、電子グレードの水は、
ウォーターマーク欠陥、
Blob欠陥を特に予防し、
かつ/または
製品を、上に記載されている(または好ましいと上に記載されている)組成物で洗浄した、またはすすいだ後で、前記製品(曝露し、現像されたフォトレジスト)の表面上に留まる粒子の量を減少させるので、有利である。
本発明の特定の態様は、以下のように要約される:
1. スルホブタン二酸ジエステル、
(スルホメチル)−ブタン二酸ジエステル、
メチル−スルホブタン二酸ジエステル、
スルホグルタル酸ジエステル、
および
スルホトリカルバリン酸トリエステル
からなる群から選択される1種または複数の化合物の1種または複数のアンモニウム塩を含む組成物を使用する方法であって、
基板、およびそれに支持されている、ライン幅が50nm以下のライン−スペース構造を有するパターン化材料層を含む製品を洗浄する、またはすすぐための、方法。
2. 1種超のアンモニウム塩の1種または少なくとも1種は、プロトン化アンモニア(NH )、第一級アンモニウム、第二級アンモニウム、第三級アンモニウムおよび第四級アンモニウムからなる群から選択されるアンモニウムカチオンを含む、態様1に記載の方法。
3. 1種超のアンモニウム塩の1種または少なくとも1種が、第一級アンモニウム、第二級アンモニウム、第三級アンモニウムまたは第四級アンモニウムカチオンを含み、カチオンが、アンモニウム窒素原子に結合している、非置換アルキルおよび置換アルキルからなる群から選択される1個または複数の置換基を有し、上記各アルキルは、分岐または直鎖状アルキルである、態様1から2のいずれか1つに記載の方法。
4. 1種超のアンモニウム塩の1種または少なくとも1種が、メチル、エチル、1−プロピル、2−プロピル、1−ブチル、2−ブチル、1−ペンチル、2−ペンチル、3−ペンチル、1−ヘキシル、2−ヘキシル、3−ヘキシル、2−メチルプロパ−1−イル、2−メチルプロパ−2−イル、2−メチルブタ−1−イル、3−メチルブタ−1−イル、2−メチルブタ−2−イル、3−メチルブタ−2−イル、2−メチルペンタ−1−イル、2−メチルペンタ−2−イル、2−メチルペンタ−3−イル、3−メチルペンタ−1−イル、3−メチルペンタ−2−イル、3−メチルペンタ−3−イル、4−メチルペンタ−1−イルおよび4−メチルペンタ−2−イルからなる群から選択される1個または複数の置換基が、アンモニウム窒素原子に結合している第四級アンモニウムカチオンを含み、
これらの置換基のそれぞれが、非置換である、または酸素、硫黄、窒素、塩素もしくは臭素原子を含む1個または複数の基で置換されている、態様1から3のいずれか1つに記載の方法。
5. 1種超のアンモニウム塩の1種または少なくとも1種が、ヒドロキシ−置換アルキルラジカルからなる群から選択される1個または複数の置換基がアンモニウム窒素原子に結合している第四級アンモニウムカチオンを含み、アルキルラジカルが、メチル、エチル、プロパ−1−イル、プロパ−2−イル、ブタ−1−イル、ブタ−2−イル、ペンタ−1−イル、ペンタ−2−イル、ペンタ−3−イル、ヘキサ−1−イル、ヘキサ−2−イル、ヘキサ−3−イル、2−メチルプロパ−1−イル、2−メチルプロパ−2−イル、2−メチルブタ−1−イル、3−メチルブタ−1−イル、2−メチルブタ−2−イル、3−メチルブタ−2−イル、2−メチルペンタ−1−イル、2−メチルペンタ−2−イル、2−メチルペンタ−3−イル、3−メチルペンタ−1−イル、3−メチルペンタ−2−イル、3−メチルペンタ−3−イル、4−メチルペンタ−1−イルおよび4−メチルペンタ−2−イルから選択されるアルキルラジカルからなる群から選択され、好ましくは、1個または複数の置換基が、ヒドロキシメチル、2−ヒドロキシエタ−1−イル、2−ヒドロキシプロパ−1−イル、3−ヒドロキシプロパ−1−イル、1−ヒドロキシプロパ−2−イル、2−ヒドロキシブタ−1−イル、3−ヒドロキシブタ−1−イル、4−ヒドロキシブタ−1−イル、1−(ヒドロキシメチル)プロパ−1−イル、2−ヒドロキシ−1−メチルプロパ−1−イル、3−ヒドロキシ−1−メチルプロパ−1−イル、2−ヒドロキシ−2−メチルプロパ−1−イルおよび2−ヒドロキシ−1,1−ジメチルエタ−1−イルからなる群から選択され、より好ましくは、1個または複数の置換基が、2−ヒドロキシエタ−1−イルである、態様4に記載の方法。
6. 1種超のアンモニウム塩の1種または少なくとも1種が、
(a)非置換メチル、エチル、プロパ−1−イル、プロパ−2−イル、ブタ−1−イル、ブタ−2−イル、ペンタ−1−イル、ペンタ−2−イル、ペンタ−3−イル、ヘキサ−1−イル、ヘキサ−2−イル、ヘキサ−3−イル、2−メチルプロパ−1−イル、2−メチルプロパ−2−イル、2−メチルブタ−1−イル、3−メチルブタ−1−イル、2−メチルブタ−2−イル、3−メチルブタ−2−イル、2−メチルペンタ−1−イル、2−メチルペンタ−2−イル、2−メチルペンタ−3−イル、3−メチルペンタ−1−イル、3−メチルペンタ−2−イル、3−メチルペンタ−3−イル、4−メチルペンタ−1−イルおよび4−メチルペンタ−2−イルからなる群から選択される1個、2個または3個の置換基、および
(b)置換メチル、エチル、プロパ−1−イル、プロパ−2−イル、ブタ−1−イル、ブタ−2−イル、ペンタ−1−イル、ペンタ−2−イル、ペンタ−3−イル、ヘキサ−1−イル、ヘキサ−2−イル、ヘキサ−3−イル、2−メチルプロパ−1−イル、2−メチルプロパ−2−イル、2−メチルブタ−1−イル、3−メチルブタ−1−イル、2−メチルブタ−2−イル、3−メチルブタ−2−イル、2−メチルペンタ−1−イル、2−メチルペンタ−2−イル、2−メチルペンタ−3−イル、3−メチルペンタ−1−イル、3−メチルペンタ−2−イル、3−メチルペンタ−3−イル、4−メチルペンタ−1−イルおよび4−メチルペンタ−2−イルからなる群から選択される1個または複数の置換基
がアンモニウム窒素原子に結合している第四級アンモニウムカチオンを含み、
アンモニウム窒素原子に結合しているこれらの置換基のそれぞれが、酸素、硫黄、窒素、塩素または臭素原子を含む1個または複数の基で置換されており、
アンモニウム窒素原子に結合しているこれらの置換基のそれぞれが、好ましくは、ヒドロキシメチル、2−ヒドロキシエタ−1−イル、2−ヒドロキシプロパ−1−イル、3−ヒドロキシプロパ−1−イル、1−ヒドロキシプロパ−2−イル、2−ヒドロキシブタ−1−イル、3−ヒドロキシブタ−1−イル、4−ヒドロキシブタ−1−イル、1−(ヒドロキシメチル)プロパ−1−イル、1−ヒドロキシ−1−メチルプロパ−1−イル、2−ヒドロキシ−1−メチルプロパ−1−イル、3−ヒドロキシ−1−メチルプロパ−1−イル、2−ヒドロキシ−2−メチルプロパ−1−イルおよび2−ヒドロキシ−1,1−ジメチルエタ−1−イルからなる群から選択され、より好ましくは2−ヒドロキシエタ−1−イルであり、
アンモニウム窒素原子に結合している置換基の合計数が2、3または4である、態様2から5のいずれか1つに記載の方法。
7. 1種超のアンモニウム塩の1種または少なくとも1種が、
(a)非置換メチル、エチル、プロパ−1−イル、プロパ−2−イル、ブタ−1−イル、ブタ−2−イル、ペンタ−1−イル、ペンタ−2−イル、ペンタ−3−イル、ヘキサ−1−イル、ヘキサ−2−イル、ヘキサ−3−イル、2−メチルプロパ−1−イル、2−メチルプロパ−2−イル、2−メチルブタ−1−イル、3−メチルブタ−1−イル、2−メチルブタ−2−イル、3−メチルブタ−2−イル、2−メチルペンタ−1−イル、2−メチルペンタ−2−イル、2−メチルペンタ−3−イル、3−メチルペンタ−1−イル、3−メチルペンタ−2−イル、3−メチルペンタ−3−イル、4−メチルペンタ−1−イルおよび4−メチルペンタ−2−イルからなる群から選択され、好ましくは、3個の置換基すべてがメチルである、3個の置換基、および
(b)メチル、エチル、プロパ−1−イル、プロパ−2−イル、ブタ−1−イル、ブタ−2−イル、ペンタ−1−イル、ペンタ−2−イル、ペンタ−3−イル、ヘキサ−1−イル、ヘキサ−2−イル、ヘキサ−3−イル、2−メチルプロパ−1−イル、2−メチルプロパ−2−イル、2−メチルブタ−1−イル、3−メチルブタ−1−イル、2−メチルブタ−2−イル、3−メチルブタ−2−イル、2−メチルペンタ−1−イル、2−メチルペンタ−2−イル、2−メチルペンタ−3−イル、3−メチルペンタ−1−イル、3−メチルペンタ−2−イル、3−メチルペンタ−3−イル、4−メチルペンタ−1−イルおよび4−メチルペンタ−2−イルからなる群から選択される1個の置換基
がアンモニウム窒素原子に結合している第四級アンモニウムカチオンを含み、
アンモニウム窒素原子に結合しているこれらの置換基のそれぞれが、酸素、硫黄、窒素、塩素または臭素原子を含む1個または複数の基で置換されており、
アンモニウム窒素原子に結合しているこれらの置換基のそれぞれが、好ましくは、ヒドロキシメチル、2−ヒドロキシエタ−1−イル、2−ヒドロキシプロパ−1−イル、3−ヒドロキシプロパ−1−イル、1−ヒドロキシプロパ−2−イル、2−ヒドロキシブタ−1−イル、3−ヒドロキシブタ−1−イル、4−ヒドロキシブタ−1−イル、1−(ヒドロキシメチル)プロパ−1−イル、2−ヒドロキシ−1−メチルプロパ−1−イル、3−ヒドロキシ−1−メチルプロパ−1−イル、2−ヒドロキシ−2−メチルプロパ−1−イル、2−ヒドロキシ−1,1−ジメチルエタ−1−イルおよび5−ヒドロキシ−3−オキサ−ペンチルからなる群から選択され、より好ましい置換基が、2−ヒドロキシエタ−1−イルである、態様3から6のいずれか1つに記載の方法。
8. 1種超のアンモニウム塩の1種または少なくとも1種が、
− トリス(2−ヒドロキシエチル)アンモニウム、
− ビス(2−ヒドロキシエチル)アンモニウム、
− トリス(2−ヒドロキシエチル)メチルアンモニウム、
− ビス(2−ヒドロキシエチル)ジメチルアンモニウム、
− 2−ヒドロキシエチル−トリメチルアンモニウム、
− 2−ヒドロキシエチル−トリエチルアンモニウム、
− 2−ヒドロキシプロピル−トリメチルアンモニウム、
− ビス(2−ヒドロキシプロピル)ジメチルアンモニウム、
および
− トリス(2−ヒドロキシプロピル)メチルアンモニウム
からなる群から選択される少なくとも1つのアンモニウムカチオンを含む、態様3から7のいずれか1つに記載の方法。
9. 1種超のアンモニウム塩の1種または少なくとも1種が、一般式(1)
Figure 2018529989
のエステル基2個または3個を有するアニオンを含み、一般式(1)の各エステル基において、互いに独立して、
− nが0、1または2であり、
− Xが水素、メチルまたはエチルであり、
− Rが、
− 非置換分岐アルキル、
− 非置換直鎖状アルキル、
− 置換直鎖状アルキル、
− 置換分岐アルキル、
− 非置換分岐アルケニル、
− 非置換直鎖状アルケニル、
− 置換直鎖状アルケニル、
および
− 置換分岐アルケニル
からなる群から選択される、態様1から8のいずれか1つに記載の方法。
10. 一般式(1)の各エステル基において、互いに独立して、
− Rが、1から18個、好ましくは5から13個、より好ましくは8から12個、最も好ましくは9から10個の範囲の合計数の炭素原子を有する、態様9に記載の方法。
11. Rが、
− 非置換分岐アルキル
および
− 非置換分岐アルケニル
からなる群から選択される、態様9から10のいずれかに1つに記載の方法。
12. 一般式(1)の各エステル基において、互いに独立して、
(a)(i)Rが、非置換分岐アルキルもしくは非置換分岐アルケニルの主炭素鎖において、5から8個の炭素原子、好ましくは6から7個の炭素原子の範囲の、炭素原子の合計数を有し、
および/または
(ii)Rが、非置換分岐アルキルもしくは非置換分岐アルケニルの側鎖において、1から4個の炭素原子、好ましくは2から3個の炭素原子の範囲の、炭素原子の合計数を有し、
および/または
(b)nが0であり、Rが、対応するカルボキシル基でエステル化したアルコールの残基であり、前記アルコールがは、0.1から8、好ましくは1から5、より好ましくは1から3の範囲の分岐係数を有する、態様11に記載の方法。
13. Rが、互いに独立して、
− 3,5,5−トリメチルヘキシル、
− 2−エチルヘキシル、
− 3,3−ジメチルブチル、
− 2,4,4−トリメチルペンチル、
− 2,2−ジメチルプロピル、
− 2−プロピルヘプチル、
− イソノニル、
− イソデシル、
− イソトリデシル、
− 2,6−ジメチル−4−ヘプチル、
− 1−イソ−ブチル−3,5−ジメチルヘキシル、
− 5,7,7−トリメチル−2−(1,3,3−トリメチルブチル)オクチル、
− 2−プロピルペンチル、
− 1−エチル−2−メチルペンチル
および
− 6−メチル−2−ヘプチル
からなる群から選択される、態様9から12のいずれかに1つに記載の方法。
14. 一般式(1)の各エステル基における各Rが同一である、態様9から13のいずれかに1つに記載の方法。
15. 組成物が、
− トリメチル−2−ヒドロキシエチルアンモニウムカチオンを含む1種または複数のアンモニウム塩
および
− 以下のアニオン:
Figure 2018529989
の1つまたは複数を含み、R1が、
− 3,5,5−トリメチルヘキシル、
− 2−エチルヘキシル、
− 3,3−ジメチル−2−ブチル、
および
− 2,4,4−トリメチルペンチル
からなる群から選択される、態様1から14のいずれか1つに記載の方法。
16. 組成物が水性組成物である、態様1から15のいずれか1つに記載の方法。
17. − 1種または複数のアンモニウム塩のアンモニウムカチオンの合計量が、2×10−5から4×10−2mol/Lの範囲であり、
かつ/または
− 態様9から16のいずれか1つに定義されている1種または複数のアンモニウム塩のアニオンの合計量が、2×10−5から4×10−2mol/Lの範囲である、態様1から16のいずれか1つに記載の方法。
18. 組成物が、臨界ミセル濃度で判定して、35mN/m未満、好ましくは30mN/m未満、より好ましくは27mN/m未満、最も好ましくは25mN/m未満の平衡表面張力を有する、態様1から17のいずれか1つに記載の方法。
19. 前記洗浄する、またはすすぐ工程が、集積回路デバイス、光学デバイス、マイクロマシンまたは機械的精密デバイスを作るプロセスの一部である、態様1から18のいずれか1つに記載の方法。
20. 1種または複数のアンモニウム塩を含む組成物が、洗浄する、またはすすぐ工程に使用され、その結果
パターン崩壊が予防され、
ラインエッジラフネスが低減し、
ウォーターマーク欠陥が予防もしくは除去され、
フォトレジスト膨張が予防され、もしくは低減し、
Blob欠陥が予防され、もしくは低減し
かつ/または
粒子が除去される、
態様1から19のいずれか1つに記載の方法。
21. ライン幅が50nm以下のライン−スペース構造を有するパターン化材料層が、現像されたパターン化フォトレジスト層、パターン化バリア材料層、パターン化多積層材料層およびパターン化誘電体材料層からなる群から選択される、態様1から20のいずれか1つに記載の方法。
22. パターン化材料層が、ライン幅が32nm以下、好ましくは22nm以下のライン−スペース構造を有する、態様1から21のいずれか1つに記載の方法。
23. パターン化材料層が、非フォトレジスト構造では10超のアスペクト比を有し、フォトレジスト構造では2超のアスペクト比を有する、態様1から22のいずれか1つに記載の方法。
24. 基板、およびそれに支持されている、ライン幅が50nm以下のライン−スペース構造を有するパターン化材料層を含む洗浄した、またはすすいだ製品を作る方法であって、
以下の工程:
− 基板、およびそれに支持されている、ライン幅が50nm以下のライン−スペース構造を有するパターン化材料層を含む製品を製造する、または得る工程、
− 態様1から18のいずれか1つで定義されている、組成物を製造する、または得る工程
ならびに
− 前記組成物を有する前記製品を洗浄し、またはすすぎ、その結果、洗浄した、またはすすいだ製品が生じる工程
を含む方法。
25. 以下の工程
− 液浸フォトレジスト、EUVフォトレジストまたはeビームフォトレジスト層を有する基板を用意する工程、
− 浸漬液の有無に関わらず、マスクを通して、フォトレジスト層を化学線に曝露させる工程、
− 曝露したフォトレジスト層を現像液で現像して、ライン幅が50nm以下のライン−スペース構造を有するパターンを得、その結果、基板、およびそれに支持されている、ライン幅が50nm以下のライン−スペース構造を有するパターン化材料層を含む製品を得る工程、
− 前記組成物を有する前記製品を洗浄し、またはすすぎ、その結果、洗浄した、またはすすいだ製品を得る工程、
ならびに、任意に
− 前記洗浄した、またはすすいだ製品を、好ましくは脱水機にかけることにより、またはマランゴニ効果を応用する乾燥プロセスにより乾燥させる工程
を含む態様24に記載の方法。
26. 組成物が、態様1から19のいずれか1つで定義されており、かつ/または、パターン化材料層が、態様22から24のいずれか1つで定義されている、
態様24または25に記載の方法。
27. − 水
および
− 態様1から15のいずれか1つで定義されている、1種または複数のアンモニウム塩
および、任意に
− 1種または複数の有機溶媒化合物
を含む配合物であって、
ハーゼン色数が1000未満、好ましくは100未満、より好ましくは50未満であり、
および/または
濁度が0.08から10NTUの範囲の、好ましくは0.2から5NTUの範囲の、より好ましくは0.2から1NTUの範囲である、配合物。
28. 態様1から16のいずれか1つで定義されている、前記アンモニウム塩の総濃度が、2×10−5から4×10−2mol/Lの範囲である、態様27に記載の配合物。
29. 1種または複数の化合物の1種または複数のアンモニウム塩が、
(スルホメチル)−ブタン二酸ジエステル、
メチル−スルホブタン二酸ジエステル、
スルホグルタル酸ジエステル、
および
スルホトリカルバリン酸トリエステル
からなる群から選択される1種または複数の化合物のアンモニウム塩である、態様27または28に記載の配合物。
30. 1種超のアンモニウム塩の1種または少なくとも1種が、
− トリス(2−ヒドロキシエチル)アンモニウム、
− ビス(2−ヒドロキシエチル)アンモニウム、
− トリス(2−ヒドロキシエチル)メチルアンモニウム、
− ビス(2−ヒドロキシエチル)ジメチルアンモニウム、
− 2−ヒドロキシエチル−トリメチルアンモニウム、
− 2−ヒドロキシエチル−トリエチルアンモニウム、
− 2−ヒドロキシプロピル−トリメチルアンモニウム、
− ビス(2−ヒドロキシプロピル)ジメチルアンモニウム、
および
− トリス(2−ヒドロキシプロピル)メチルアンモニウム
からなる群から選択される少なくとも1つのアンモニウムカチオンを含む、態様27から29のいずれか1つに記載の配合物。
31.1種超のアンモニウム塩の1種または少なくとも1種が、
− トリス(2−ヒドロキシエチル)アンモニウム、
− ビス(2−ヒドロキシエチル)アンモニウム、
− トリス(2−ヒドロキシエチル)メチルアンモニウム、
− ビス(2−ヒドロキシエチル)ジメチルアンモニウム、
− 2−ヒドロキシエチル−トリメチルアンモニウム、
− 2−ヒドロキシエチル−トリエチルアンモニウム、
− 2−ヒドロキシプロピル−トリメチルアンモニウム、
− ビス(2−ヒドロキシプロピル)ジメチルアンモニウム、
および
− トリス(2−ヒドロキシプロピル)メチルアンモニウム
からなる群から選択される少なくとも1つのアンモニウムカチオンを含み、
1種または複数の化合物の1種または複数のアンモニウム塩が、
(スルホメチル)−ブタン二酸ジエステル、
メチル−スルホブタン二酸ジエステル、
スルホグルタル酸ジエステル、
および
スルホトリカルバリン酸トリエステル
からなる群から選択される1種または複数の化合物のアンモニウム塩である、態様27から30のいずれか1つに記載の配合物。
32. 基板、およびそれに支持されている、ライン幅が50nm以下のライン−スペース構造を有するパターン化材料層を含む製品を洗浄する工程、またはすすぐ工程に使用するための、態様27から31のいずれか1つに記載の配合物。
33. − 1から8個の炭素原子の合計数を有するアルコール、
− アルキルエチレングリコール、
− アルキルジエチレングリコール、
− アルキルトリエチレングリコール、
− アルキルプロピレングリコール、
− アルキルジプロピレングリコール
および
− アルキルトリプロピレングリコール
からなる群から選択される有機溶媒化合物を含み、
上記各アルキルが、1から7個の範囲の炭素原子の合計数を有する、態様27から32のいずれか1つに記載の配合物。
34. 配合物の合計質量に対して、5ppm未満、好ましくは1ppm未満、より好ましくは0.05ppm未満、よりいっそう好ましくは5ppb未満、最も好ましくは3ppb未満の金属カチオンを含む、態様27から33のいずれか1つに記載の配合物。
35. 最大サイズ3μm未満、より好ましくは300nm未満、最も好ましくは30nm未満の粒子のみを含有する、態様27から34のいずれか1つに記載の配合物。
36. 少なくとも以下の工程:
a)スルホブタン二酸ジエステルアニオン、
(スルホメチル)−ブタン二酸ジエステルアニオン、
メチル−スルホブタン二酸ジエステルアニオン、
スルホグルタル酸ジエステルアニオン、
および
スルホトリカルバリン酸トリエステルアニオン
からなる群から選択される1種または複数の対応するジエステルまたはトリエステルアニオンが得られるように、亜硫酸水素塩アニオンの、1または複数のα,β−不飽和エステルの二重結合への付加を含む手順により、態様1から16のいずれか1つで定義されている1種または複数のアンモニウム塩を合成する工程、
b)配合物を得るために、工程a)で合成された前記1種または複数のアンモニウム塩と、水および/または態様33で定義されている1種または複数の有機溶媒を混合する工程、
c)任意に、工程b)で得られた配合物を、孔径が直径30nm未満のフィルターを通して濾過する工程
を含む、態様27から35のいずれか1つに記載の配合物を作る方法。
37. 工程a)において、
− 亜硫酸水素塩アニオンの対カチオンが、亜硫酸水素塩アニオンの、1つもしくは複数のα,β−不飽和エステルの前記二重結合への前記付加後、態様1から15のいずれか1つで定義されている1種もしくは複数のアンモニウム塩が構成されるように、態様2から8のいずれか1つで定義されているアンモニウムカチオンであり、
または
− 亜硫酸水素塩アニオンの対カチオンが、態様2から8のいずれか1つで定義されているアンモニウムカチオンではなく、対カチオンが、態様2から15のいずれか1つで定義されている1種もしくは複数のアンモニウム塩が構成されるように、後続の工程において、態様2から8のいずれか1つで定義されているアンモニウムカチオンに交換され、
または
− 亜硫酸水素塩アニオンの対カチオンが、アンモニウムカチオンではなく、対カチオンが、態様1から15のいずれか1つで定義されている1種もしくは複数のアンモニウム塩が構成されるように、後続の工程においてアンモニウムカチオンに交換される、
態様36に記載の方法。
38. 態様2から15のいずれか1つで定義されている1種または複数のアンモニウム塩が構成されるように、後続の工程において、
対カチオンが、態様2から8のいずれか1つで定義されているアンモニウムカチオンに交換される、
態様37に記載の方法。
39. c)工程b)で得られた配合物を、孔径が直径30nm未満のフィルターを通して濾過する工程を含み、
また、さらなる工程
d)濾過した配合物を追加の水と混合して、希釈した水性配合物を得る工程を含む、態様36または38に記載の方法。
40. 添加される水が、電子グレードの水である、態様36から39のいずれか1つに記載の方法。
41. 得られる1種または複数の対応するジエステルまたはトリエステルアニオンが、
(スルホメチル)−ブタン二酸ジエステルアニオン、
メチル−スルホブタン二酸ジエステルアニオン、
スルホグルタル酸ジエステルアニオン、
および
スルホトリカルバリン酸トリエステルアニオン
からなる群から選択される、態様35から40のいずれか1つに記載の方法。
本発明は、以下の実施例および図への言及により、さらに説明される。
脱イオン水ですすいだ後のフォトレジスト(ライン=26nmおよびピッチ=78nm)のAFM画像である。 アンモニウムジ(3,5,5−トリメチルヘキシル)スルホブタン二酸ジエステル[両方のR基=3,5,5−トリメチルヘキシル、およびn=0]を含有する本発明の配合物ですすいだ後の、フォトレジスト(ライン=26nmおよびピッチ=78nm)のAFM画像である。 脱イオン水ですすいだ後のフォトレジスト(ライン=40nmおよびピッチ=120nm)のAFM画像である。 アンモニウムジ(3,5,5−トリメチルヘキシル)スルホブタン二酸[両方のR基=3,5,5−トリメチルヘキシル、およびn=0]を含有する本発明の配合物ですすいだ後の、フォトレジスト(ライン=40nmおよびピッチ=120nm)のAFM画像である。 2−ヒドロキシエチル−トリメチルアンモニウムジ(3,5,5−トリメチルヘキシル)スルホブタン二酸ジエステル[両方のR基=3,5,5−トリメチルヘキシル、およびn=0]を含有する本発明の配合物ですすいだ後の、フォトレジスト(ライン=40nmおよびピッチ=120nm)のAFM画像である。
分岐係数(判定方法):
分岐係数は、WO2009/124922 A1で開示されている方法に従って、H−NMR分光法により判定される。第1の工程では、分岐係数を判定しなければならないアルコールを、トリクロロアセチルイソシアネート(TAI)と反応させて、対応するカルバミン酸エステルを形成する。第2の工程では、形成されたカルバミン酸エステルのNMRスペクトルを測定する。メチル基のプロトンシグナルの1から0.4ppmの面積(F(CH))を、第一級アルコールに相当するプロトンシグナルの、4.7から4ppmの面積(F(CH−OH))と比較する。以下の式に従って、分岐係数を計算する:
分岐係数=((F(CH)/3)/(F(CH−OH)/2))−1。
CMC(臨界ミセル濃度)の(判定方法):
CMCは、プレート方法に従ってKruess Tensiometer K 100を用いて、異なる濃度を有する、一連の界面活性剤水溶液の平衡表面張力を測定することにより、判定した。得られたグラフは、通常、2つの独特な領域を有する。CMCを下回る平衡表面張力は、広い範囲で、界面活性剤濃度の対数に線形従属である。CMCを上回る平衡表面張力は、界面活性剤の濃度とは、ほぼ無関係である。両方の領域のデータ点は、統計的に、単回帰によって当てはめることができる。CMCは、これらの領域における、データに当てはめられた2つの線形回帰直線の間における交点である。
実験データに関しては、以下の表1を参照されたい。
平衡表面張力(DIN 53914;実験による測定):
界面活性剤水溶液の平衡表面張力を、DIN 53914に従って、Kruess Tensiometer K 100を用いるプレート方法により、25℃にて判定する。
プレート方法は、通常、面積数平方センチメートルほどの薄いプレートを使用する。プレートは、通常、完全な濡れを確保するために、高い表面エネルギーを有する白金から作られる。濡れによるプレートにおける力Fは、張力計または微量天秤により測定され、それを使用して、Wilhelmyの式:
Figure 2018529989
[式中、lは、Wilhelmyプレートの濡れ縁であり、θは、液相とプレートの間の接触角である]
を使用して平衡表面張力を計算する。
実験データに関しては、以下の表1を参照されたい。
Figure 2018529989
表1では、それぞれの臨界ミセル濃度で判定される、特定の化合物の平衡表面張力(特許請求の範囲で、または参照として、それぞれ定義されている)が挙げられている。特許請求の範囲で定義されている(表1の最初の4項目)化合物の平衡表面張力は、23.8から27.5mN/mの範囲である。これらの値は、化合物が脂肪族部分を有する界面活性剤であることを考慮すると、驚くべきことにきわめて低い。
表1における最後の2項目(純水およびiC1327O−(CHCHO)SONH)は、比較のための参照化合物である。純水は、非常に高い平衡表面張力(およそ73mN/m)を有する。最後の項目は、水中の、プロトン化アンモニアを対イオンとして有するアルキルエーテルスルフェート(iC1327O−(CHCHO)SONH)を示す。平衡表面張力(35mN/m)は、本発明による配合物と比較して、非常に高い。
本出願者は、DIN 53914に従って、(スルホメチル)−ブタン二酸ジエステル、メチル−スルホブタン二酸ジエステル、スルホグルタル酸ジエステルおよびスルホトリカルバリン酸トリエステルのアンモニウム塩を用いて、さらなる測定を実施した。こうしたさらなる測定の結果は、スルホブタン二酸ジエステルのアンモニウム塩について、上の表1に記載されているものときわめて類似していた。
発泡体積(Foam beating volume)(DIN 53902;実験による測定方法):
200mlの0.5g/l界面活性剤溶液((i)実験に使用される、対応する界面活性剤および(ii)個々に選択された濃度の変化については表2を参照されたい)を、目盛付き1000mlメスシリンダーに、23℃にて入れる。パーフォレーテッドディスクを周波数1Hzで30回、1000mlの印からシリンダーの底へと押すことにより泡を手作業で作り出した。パーフォレーテッドディスクは、48mmの直径を有する。ディスクに均一に分布した60個の穴は、1mmの直径を有する。水溶液の上の泡の体積を、時間に応じて検出する。表2では、水溶液の上の泡の体積は、200秒後に定められている。
実験データに関しては、以下の表2を参照されたい:
Figure 2018529989
*界面活性剤化合物は、表2の第1の項目に従って使用される化合物と同一であり;第2の項目では、界面活性剤濃度で0.2g/lの200秒後の泡体積が挙げられている。
**D.Balzerら、Surfactant Science Series 第91巻、New York、2000年、263頁、表31による40℃、および界面活性剤濃度1g/lでの初期の泡
表2では、上に記載されている異なる界面活性剤の泡の形成、および従来技術で公知の界面活性剤に関するデータが示されている。ビス(2−エチルヘキシル)スルホスクシネート(項目5、本発明による配合物、すなわち上で定義されているアンモニウム塩を含む組成物)を含む溶液が、最も少ない泡の形成を示す。本発明による他の配合物(すなわち上に記載されているアンモニウム塩を含む組成物;項目1から4)で、類似した結果が得られる。本発明による配合物の泡の体積値は、従来技術で公知の界面活性剤(商用の界面活性剤、Marlon PS)のきわめて高い値と比較して非常に低い。
結果として、本発明による配合物(すなわち、上で定義されているアンモニウム塩を含む組成物)は、従来技術で公知の界面活性剤配合物と比較して、低い泡体積を有する。
さらに、表2における結果によれば、界面活性剤溶液は、ある程度泡の形成を引き起こすが、項目1と項目2の比較から、対応する界面活性剤の濃度が低下すると、泡の形成も低下することが示される。
本出願者は、DIN 53902に従って、(スルホメチル)−ブタン二酸ジエステル、メチル−スルホブタン二酸ジエステル、スルホグルタル酸ジエステルおよびスルホトリカルバリン酸トリエステルのアンモニウム塩を用いて、さらなる測定を実施した。こうしたさらなる測定の結果は、スルホブタン二酸ジエステルのアンモニウム塩について、上の表2に記載されているものときわめて類似していた。
動的表面張力(ASTM D 3825−90による測定;実験)
動的表面張力を、Lauda MPT 2という機器を用いて最大泡圧方法によって判定した。毛管を通して界面活性剤溶液中に空気を送り出す。この機器は、毛管から離れた気泡中の、泡の半径が毛管の半径に等しい場合に到達する最大圧力を測定する。動的表面張力をLaPlaceの式
p=2σ/r
[式中、
pは、泡の最大圧力であり、
毛管の半径rに一致し
σは、気泡と界面活性剤溶液の間の動的表面張力である]
により計算する。
泡周波数(bubble frequncy)は、気流を変化させることによりにより変動する。泡周波数が高いほど、泡の周囲の動的表面張力を低くするために、界面活性剤が泡の表面に移動しなければならない時間は短くなる。泡周波数の変化から、泡表面の寿命に対する時間軸をミリ秒から秒の範囲で作り出した。時間に応じた動的表面張力を、一定の界面活性剤濃度(0.5g/l)および一定の周囲温度でプロットする。表3では、1秒後の対応する動的表面張力が挙げられている。
実験データに関しては、以下の表3を参照されたい:
Figure 2018529989
表3における結果は、本発明による様々な配合物(すなわち上で定義されているアンモニウム塩を含む組成物)の動的表面張力を示す。本発明による様々な配合物(すなわち上で定義されているアンモニウム塩を含む組成物)の、1秒後の動的表面張力は、30mN/mを下回る(時間が増えるにつれてさらにいっそう低下する)。表3における最後の2項目は、比較のために示されている。純水は、本発明による配合物(すなわち上で定義されているアンモニウム塩を含む組成物)より非常に高い動的表面張力(およそ73mN/m)を有する。表3における最後の項目は、水に溶解する対イオンとしてプロトン化アンモニアを有するアルキルエーテルスルフェートを示す。1秒後の動的表面張力(48mN/m)は、本発明による配合物(すなわち上で定義されているアンモニウム塩を含む組成物)と比較して、非常に高い。記載されている(基板、およびそれに支持されている、ライン幅が50nm以下のライン−スペース構造を有するパターン化材料層を含む製品を洗浄する工程、またはすすぐ工程に)使用する方法に関しては、本発明による配合物(すなわち上で定義されているアンモニウム塩を含む組成物)は、サイクル時間を短く保持できるので有利である。
本出願者は、(スルホメチル)−ブタン二酸ジエステル、メチル−スルホブタン二酸ジエステル、スルホグルタル酸ジエステルおよびスルホトリカルバリン酸トリエステルのアンモニウム塩について、ASTM D 3825−90に従ってさらなる測定を実施した。こうしたさらなる測定の結果は、スルホブタン二酸ジエステルのアンモニウム塩について上の表3に記載されているものときわめて類似していた。
水溶液のNTU値(測定方法):
NTU値は、EPA方法180.1に従って、例えばHACH Lange 2100 Gという機器を用いて判定される。
例えば、DIW(電子グレードの水、脱イオン化水を意味する)中の1g/l 2−ヒドロキシエチル−トリメチルアンモニウムジ(3,5,5−トリメチルヘキシル)スルホブタン二酸ジエステル(合成パート4bの界面活性剤)の溶液に関しては、1g/l 1−メトキシ−2−プロパノールの存在下で、0.3のNTU値を測定した。
水(DIW)に関しては、0.08のNTU値を測定した。
フォトレジスト膨張およびパターン崩壊(判定方法;実験):
パターン崩壊およびフォトレジスト膨張を、AFM(原子力顕微鏡法)検査の使用により判定した。シリコンウェーハは、層の厚さが100nmの液浸フォトレジストを備えていた。用意したフォトレジスト層を、浸漬液として超純水を使用して、マスクを通して波長193のUV照射に曝露した。マスクにより、
(A)ライン幅が26nmおよびスペース幅が52nm(フォトレジスト層「L26 P78」)およびアスペクト比が約4の、
ならびに
(B)ライン幅が40nmおよびスペース幅が80nm(フォトレジスト層「L40 P120」)およびアスペクト比が約2.5の
ライン−スペース構造を有するパターンが生じた。
フォトレジストのライン間のスペースは、(A)52nm[L(ライン)26nm P(ピッチ)78nm]および(B)80nm[L(ライン)40nm P(ピッチ)120nm]であった。
その後、曝露したフォトレジスト層(A)および(B)を、ベークし、TMAH(水酸化テトラメチルアンモニウム)を含有する水性現像液で現像した。ベークし、現像したフォトレジスト層に、以下の
(a)DIW(脱イオン化水)、
(b)DIW中の0.05質量%アンモニウムジ(3,5,5−トリメチルヘキシル)スルホブタン二酸ジエステル(本発明による配合物、または上に記載されているアンモニウム塩を含む組成物)、
(c)DIW中の0.05質量%2−ヒドロキシエチル−トリメチルアンモニウムジ(3,5,5−トリメチルヘキシル)スルホブタン二酸ジエステル(本発明による配合物、または上に記載されているアンモニウム塩を含む組成物)を、異なる比率で含有する3つの化学すすぎ液の1つを使用して、化学すすぎ処理を個々に施した。各界面活性剤溶液は、界面活性剤の量と比較して、類似した量(質量基準に対して)の1−メトキシ−2−プロパノール(有機(共)溶媒として使用される)を含有していた。
各化学すすぎ液をパドルとして適用した。その後、シリコンウェーハを脱水機にかけた。
実験データ:
パターン崩壊:
以下の表4で挙げられている実験データから、フォトレジスト層(B)(「L40 P120」)は、化学すすぎ液(b)および(c)で処理した場合、パターン崩壊を一切示さなかったと結論付けることができ:すすぐ前に存在していたライン全9本は、すすいだ後も目に見えた;図4および5も参照されたい。比較すると、DIW溶液ですすいだフォトレジスト層(B)では、理論上のライン合計数9本のうち、目に見えるのは7本のみである。
フォトレジスト(A)(「L26 P78」)は、化学すすぎ液(b)で処理した場合、崩壊パターンの数が減少したことを示した:ライン合計数7本のうち、目に見えるのは3本のみである(図1も参照されたい)DIW溶液ですすいだフォトレジスト層と比較して、理論上のライン合計数7本のうち、依然として目に見えるのは5本である(図2も参照されたい)。
実験データに関しては以下の表4を参照されたい:
Figure 2018529989
したがって、本発明の配合物および/または本発明に従って使用される組成物は、パターン崩壊を有意に低減させる、またはパターン崩壊を予防さえする。
膨張:
実験データに関しては、以下の図1から5および上の表4を参照されたい:
図1〜5は、DIW、ならびにアンモニウムジ(3,5,5−トリメチルヘキシル)スルホブタン二酸ジエステルおよび2−ヒドロキシエチル−トリメチルアンモニウムジ(3,5,5−トリメチルヘキシル)スルホブタン二酸ジエステルを含む溶液ですすぎ処理した後で、AFMにより得られた真上からの画像を示す。ライン幅が(A)26nm、スペースが52nm(ピッチが78nm)のパターンを有し、およびアスペクト比が約4の乾燥させたパターン化フォトレジスト層は、有意なパターン崩壊を示した。また、ライン幅(B)40nm、およびスペースが80nm(ピッチが120nm)。
L40 P120に関しては、上に記載されている顕微鏡的方法によっては、軽度の膨張しか観察されなかった(2−ヒドロキシエチル−トリメチルアンモニウムジ(3,5,5−トリメチルヘキシル)スルホブタン二酸ジエステルを含む溶液を使用した場合;図5も参照されたい)、または膨張が観察されなかった(アンモニウムジ(3,5,5−トリメチルヘキシル)スルホブタン二酸ジエステルを含む溶液を使用した場合;図4も参照されたい)。
L26 P78に関しては、上に記載されている顕微鏡的方法によっては、軽度の膨張しか観察されなかった(アンモニウムジ(3,5,5−トリメチルヘキシル)スルホブタン二酸ジエステルを含む溶液を使用した場合)(図2も参照されたい)。
いずれのフォトレジスト(L26 P78およびL40 P120)に関しても、DIWをすすぎ液として使用した場合(図1および3も参照されたい)に、膨張を観察した。
したがって、本発明の配合物および/または上に記載されている組成物を使用する方法は、DIWを用いたベンチマークのすすぎと比較して、フォトレジストの膨張を(パターン化構造のサイズに応じて)有意に低減させる、または予防さえする。
本出願者は、(スルホメチル)−ブタン二酸ジエステル、メチル−スルホブタン二酸ジエステル、スルホグルタル酸ジエステルおよびスルホトリカルバリン酸トリエステルのアンモニウム塩で、フォトレジスト膨張およびパターン崩壊に対してさらなる測定を実施した。こうしたさらなる測定の結果は、スルホブタン二酸ジエステルのアンモニウム塩について、上の表4に記載されているものときわめて類似していた。
ウォーターマーク欠陥、Blob欠陥、粒子除去(測定方法;実験):
粒子除去は、ウエハのパターン化材料層の表面をスキャンすることにより調査した。ASML Twinscan NXT:1950iスキャナに取り付けたSokudo Duo trackを使用して、ウエハを製造した。
対応するパターン化材料層を製造するための典型的なプロセス(本発明による組成物または本発明の配合物の使用を含む)は、250℃にて60秒間のプレベーク、および110℃にて50℃へのベークを伴うHMDSコーティングを含んでいた。その後、BARC(底面反射防止コーティング)として95nmのBrewer Science ARC29SRをコーティングし、205℃にて60秒間ベークした。これに、105nm TOK pi−6001またはJSR AIM 5484レジストのレジストコーティングを続けた。レジストを120℃にて60℃へとベークし、TOKレジストは、追加の表面コーティングを施した。曝露は双極子場で行った。レジストのスキャン後、ウエハを濡らし、洗浄し、100℃にてベークした。手作業でのすすぎ実験のために、ウエハをその後FOSB(フロントオープニングシッピングボックス)に移し、実験室に送った。次いで、2.38質量%TMAH溶液および試験用液で現像したウエハを、ウェットツーウェットプロセスに使用した。
(DIW(脱イオン化水;表5における項目4)対0.05質量%2−ヒドロキシエチル−トリメチルアンモニウムジ(3,5,5−トリメチルヘキシル)スルホブタン二酸ジエステル(界面活性剤)、0.05質量%1−メトキシ−2−プロパノール(共溶媒)および0.0002質量%N,N−ジメチルミリスチルアミンオキシド(表5において項目1および2)または0.0002質量%1−オクタノール(表5における項目3))の水溶液のすすぎ液を、マニュアルディスペンスにより適用した後で、ウエハを脱水機にかけた。
検査に使用される機器は:
− AFM
− SEM(Hitachi CG−5000)
− 欠陥スキャン(KLA 2385または類似したもの)、欠陥レビューのための任意のSEM−Vision(欠陥マップ)であった。
ウエハを脱水機にかけた後で、ウエハを欠陥について検査した。以下のデータをKLA2385(KLA Tencor)で生成した。
実験データに関しては、以下の表5を参照されたい:
ウォーターマーク欠陥:
走査型電子顕微鏡法(SEM、Hitachi CG−5000)および原子力顕微鏡法(AFM)により、乾燥したシリコンウェーハは、ウォーターマークを一切示さなかったことが裏付けられた。
粒子除去:
Figure 2018529989
表5の最後の項目(項目4)では、上記の手順に従って製造されたフォトレジストを、DIW溶液を用いてすすいだ後で、477個の粒子が留まったことがわかる。項目1から3では、本発明による溶液または組成物を用いて洗浄した、またはすすいだ後で、粒子が、フォトレジストの表面(すなわち基板、およびそれに支持されている、ライン幅が50nm以下のライン−スペース構造を有するパターン化材料層を含む製品)に有意に少なく留まったことがわかる。洗浄した、またはすすいだフォトレジストは、基板、およびそれに支持されている、ライン幅が50nm以下のライン−スペース構造を有するパターン化材料層を含む洗浄した、もしくはすすいだ製品を作るための、本発明の方法の製品である。
表5で示されているように、本発明の配合物および/または本発明に従って使用される組成物は、フォトレジストの表面に留まる粒子の量を、DIWですすいだフォトレジストと比較して、有意に減少させる。これは、ウォーターマーク欠陥の低減およびBlob欠陥の低減にも有利である。
本出願者は、(スルホメチル)−ブタン二酸ジエステル、メチル−スルホブタン二酸ジエステル、スルホグルタル酸ジエステルおよびスルホトリカルバリン酸トリエステルのアンモニウム塩を用いた、ウォーターマーク欠陥、Blob欠陥および粒子の除去に対して、さらなる測定を実施した。こうしたさらなる測定の結果は、スルホブタン二酸ジエステルのアンモニウム塩について、上の表5に記載されているものときわめて類似していた。
合成:
1)マレイン酸ジエステル/フマル酸ジエステル
a)フマル酸ジ(2−エチルヘキシル)エステルと混合したマレイン酸ジ(2−エチルヘキシル)エステル
ブレードアジテーター、PT100−熱電対、還流冷却器および水分離器を備えた2リットル4口丸底フラスコに、390.68g(3mol、2.0等量)の2−エチル−1−ヘキサノール(工業用、純度>95質量%、提供BASF)、6g(0.0315mol、0.021等量)のp−トルエンスルホン酸一水和物、および450gのトルエンを仕込み、20℃にて撹拌した。温度を40℃に上昇させ、147.09g(1.5mol、1.0等量)のマレイン酸無水物を、少しずつ添加した。1時間以内に、温度を126℃に上昇させ、反応水を、水分離器により除去した。48時間後、理論量の水を分離した。反応混合物を50℃に冷却し、分液漏斗に移した。50℃にて、有機層を3回、それぞれ266gの水で洗った。その後、有機相を単離し、硫酸ナトリウムで脱水し、Seitz K900フィルターで濾過した。ロータリーエバポレーター中で、トルエンを90℃および10mbar未満で除去した。480gの黄色液体を得た(収率94mol%(望ましいエステル構造の理論量に対して))。CDClおよびMeOD中のH−NMR−分光法により、望ましいマレイン酸ジエステルおよびその構造異性体のフマル酸ジエステルの形成(熱応力による異性化;マレイン酸ジエステル:フマル酸ジエステル=84:16)が確認され、完全なエステル化が示された。
a’)フマル酸ジ(2−エチルヘキシル)エステルと混合したマレイン酸ジ(2−エチルヘキシル)エステル
ブレードアジテーター、PT100−熱電対、還流冷却器および水分離器を備えた1リットル4口丸底フラスコに、150.5g(1.158mol、2.0等量)の2−エチル−1−ヘキサノール(工業用、純度>95質量%、提供BASF)、4.4g(0.0232mol、0.04等量)のp−トルエンスルホン酸一水和物、191gのトルエンおよび56.7g(0.579mol、1.0等量)のマレイン酸無水物を仕込み、20℃にて撹拌した。温度を123〜125℃に上昇させ、窒素流を液体上に流した。反応水を、水分離器により除去した。12時間後、理論量の水を分離した。反応混合物を50℃に冷却し、分液漏斗に移した。50℃にて、有機層を3回、それぞれ127gの水で洗った。その後、有機相を単離し、ロータリーエバポレーター中で、トルエンを90℃および10mbar未満で除去した。その後、生成物をSeitz K900フィルターで濾過した。185gの黄色がかった液体を得た(収率94mol%(望ましいエステル構造の理論量に対して))。CDClおよびMeOD中のH−NMR−分光法により、望ましいマレイン酸ジエステルおよびその構造異性体のフマル酸ジエステルの形成(熱応力による異性化;マレイン酸ジエステル:フマル酸ジエステル=92:8)が確認され、完全なエステル化が示された。
b)フマル酸ジ(3,5,5−トリメチル−1−ヘキシル)エステルと混合したマレイン酸ジ(3,5,5−トリメチル−1−ヘキシル)エステル
ブレードアジテーター、PT100−熱電対、還流冷却器および水分離器を備えた2リットル4口丸底フラスコに、390.35g(2.692mol、2.0等量)の3,5,5−トリメチル−1−ヘキサノール(工業用、純度>85質量%、残りの構造異性体、提供Oxea)、5.4g(0.0284mol、0.02等量)のp−トルエンスルホン酸一水和物および450gのトルエンを仕込み、20℃にて撹拌した。温度を40℃に上昇させ、131.99g(1.346mol、1.0等量)のマレイン酸無水物を少しずつ添加した。1時間以内に温度を125℃に上昇させ、反応水を、水分離器により除去した。67時間後、理論量の水を分離した。反応混合物を50℃に冷却し、分液漏斗に移した。50℃にて、有機層を3回、それぞれ300gの水で洗った。その後、有機相を単離し、硫酸ナトリウムで脱水し、Seitz K900フィルターで濾過した。ロータリーエバポレーター中で、トルエンを90℃および10mbar未満で除去した。468gの黄色液体を得た(収率94mol%(望ましいエステル構造の理論量に対して))。CDClおよびMeOD中のH−NMR−分光法により、望ましいマレイン酸ジエステルおよびその構造異性体のフマル酸ジエステルの形成(熱応力による異性化;マレイン酸ジエステル:フマル酸ジエステル=80:20)が確認され、完全なエステル化が示された。
b’)フマル酸ジ(3,5,5−トリメチル−1−ヘキシル)エステルと混合したマレイン酸ジ(3,5,5−トリメチル−1−ヘキシル)エステル
ブレードアジテーター、PT100−熱電対、還流冷却器および水分離器を備えた1リットル4口丸底フラスコに、166.8g(1.158mol、2.0等量)の3,5,5−トリメチル−1−ヘキサノール(工業用、純度>85質量%、残りの構造異性体、提供Oxea)、4.4g(0.0232mol、0.04等量)のp−トルエンスルホン酸一水和物、191gのトルエン、および56.7g(0.579mol、1.0等量)のマレイン酸無水物を仕込み、20℃にて撹拌した。温度を123〜125℃に上昇させ、窒素流を液体上に流した。反応水を、水分離器により除去した。12時間後、理論量の水を分離した。反応混合物を50℃に冷却し、分液漏斗に移した。50℃にて、有機層を3回、それぞれ127gの水で洗った。その後、有機相を単離し、ロータリーエバポレーター中で、トルエンを90℃および10mbar未満で除去した。その後、生成物をSeitz K900フィルターで濾過した。202gの黄色がかった液体を得た(収率94mol%(望ましいエステル構造の理論量に対して))。CDClおよびMeOD中のH−NMR−分光法により、望ましいマレイン酸ジエステルおよびその構造異性体のフマル酸ジエステルの形成(熱応力による異性化;マレイン酸ジエステル:フマル酸ジエステル=93:7)が確認され、完全なエステル化が示された。
c)フマル酸ジ(イソノニル)エステルと混合したマレイン酸ジ(イソノニル)エステル
ブレードアジテーター、PT100−熱電対、還流冷却器および水分離器を備えた2リットル4口丸底フラスコに、389.4g(2.699mol、2.0等量)のノナノールN(工業用、純度>95質量%、提供BASF)、5.4g(0.0282mol、0.021等量)のp−トルエンスルホン酸一水和物、および450gのトルエンを20℃にて仕込んだ。温度を40℃に上昇させ、132.3g(1.350mol、1.0等量)のマレイン酸無水物を撹拌しながら少しずつ添加した。温度を126℃に上昇させた。反応水を、水分離器により除去した。72時間後、理論量の水を分離した。反応混合物を50℃に冷却し、分液漏斗に移した。50℃にて、有機層を3回、それぞれ266gの水で洗った。その後、有機相を単離し、ロータリーエバポレーター中で、トルエンを90℃および10mbar未満で除去した。その後、生成物をSeitz K900フィルターで濾過した。472gの黄色がかった液体を得た(収率95mol%(望ましいエステル構造の理論量に対して))。CDClおよびMeOD中のH−NMR−分光法により、望ましいマレイン酸ジエステルおよびその構造異性体のフマル酸ジエステルの形成(熱応力による異性化;マレイン酸ジエステル:フマル酸ジエステル=83:17)が確認され、完全なエステル化が示された。
d)フマル酸ジ(2−プロピルヘプチル)エステルと混合したマレイン酸ジ(2−プロピルヘプチル)エステル
ブレードアジテーター、PT100−熱電対、還流冷却器および水分離器を備えた2リットル4口丸底フラスコに、427.4g(2.7mol、2.0等量)の2−プロピル−1−ヘプタノール(工業用、純度>95質量%、提供BASF)、5.4g(0.0282mol、0.021等量)のp−トルエンスルホン酸一水和物、および450gのトルエンを20℃にて仕込んだ。温度を40℃に上昇させ、132.3g(1.350mol、1.0等量)のマレイン酸無水物を撹拌しながら少しずつ添加した。温度を126℃に上昇させ、窒素流を液体上に流した。反応水を、水分離器により除去した。8時間後、理論量の水を分離した。反応混合物を50℃に冷却し、分液漏斗に移した。50℃にて、有機層を3回、それぞれ200gの水で洗った。その後、有機相を単離し、ロータリーエバポレーター中で、トルエンを90℃および10mbar未満で除去した。その後、生成物をSeitz K900フィルターで濾過した。527gの黄色がかった液体を得た(収率94mol−%(望ましいエステル構造に関して))。CDClおよびMeOD中のH−NMR−分光法により、望ましいマレイン酸ジエステルおよびその構造異性体のフマル酸ジエステルの形成(熱応力による異性化;マレイン酸ジエステル:フマル酸ジエステル=95:5)が確認され、完全なエステル化が示された。
2)ジアルキルスルホスクシネートアンモニウム塩(すなわちスルホブタン二酸ジアルキルジエステルのアンモニウム塩)
a)ジ(2−エチルヘキシル)スルホスクシネートアンモニウム塩
ブレードアジテーター、PT100−熱電対、還流冷却器を備えた2リットル4口丸底フラスコに、340.5g(1.0mol、1.0等量)の、マレイン酸ジ(2−エチルヘキシル)エステルおよびフマル酸ジ(2−エチルヘキシル)エステルを材料として84:16の混合物、ならびに432gのメトキシ−2−プロパノール(電子グレード)を仕込み、20℃にて撹拌した。温度を105℃に上昇させ、177g(1.25molの亜硫酸水素アンモニウム、1.25等量)の、亜硫酸水素アンモニウムの溶液(水中に70質量%)を、その後2時間にわたって継続的に添加した。投与中に、温度は、100から105℃の間で変化させ、水を還流させながら沸騰させた。反応混合物を100℃に低下させ、100℃にて4時間撹拌した。CDClおよびMeOD中のH−NMR−分光法での粗生成物の分析により、亜硫酸エステルを添加したことでの、望ましい構造の形成、および二重結合の完全な消失が確認された。ジエステル官能基は手つかずのままであった。窒素流(1時間当たり1.5倍の反応器体積)を液体上に流し、共沸蒸留により、80から100℃にて、および800から250mbarの減圧下で、水を除去した。メトキシ−2−プロパノールの損失を補うために、216gのメトキシ−2−プロパノール(電子グレード)を2回それぞれ添加した。目標は、対応するスルホスクシネートアンモニウム塩のおおよそ50から55質量%の有効含有量を有することであった。反応混合物を15℃に冷まし、不溶性の塩を除去するために、Seitz K150フィルターで濾過した。754gの溶液が得られた。溶液は、54.6質量%の望ましい化合物、45.1質量%のメトキシ−2−プロパノールおよび0.3質量%の水を含有していた。溶液は、CDClおよびMeOD中のH−NMR−分光法によりやはり示される望ましい構造を含有していた。水の含有量を、Karl−Fischer−分析により判定した。メトキシ−2−プロパノールの含有量を、定量的ガスクロマトグラフィーにより判定した。望ましい構造の収率は、94mol%(望ましい界面活性剤構造の理論量に対して)(不溶性の塩を濾過し、除去するため、界面活性剤の少ない成分が吸着される際に材料が多少失われた)であった。溶液を、2℃にて3週間保存した。溶液は透明であり、沈殿物を一切示さなかった。金属イオン含有量は10ppm未満であった。
b)ジ(3,5,5−トリメチルヘキシル)スルホスクシネートアンモニウム塩
ブレードアジテーター、PT100−熱電対、還流冷却器を備えた2リットル4口丸底フラスコに、313.0g(0.85mol、1.0等量)の、マレイン酸ジ(3,5,5−トリメチルヘキシル)エステルおよびフマル酸ジ(3,5,5−トリメチルヘキシル)エステルを材料として80:20の混合物、ならびに86.41gのネオペンチルグリコールを仕込み、20℃にて撹拌した。温度を115℃に上昇させ、その後2時間にわたって150.44g(1.0625molの亜硫酸水素アンモニウム、1.25等量)の亜硫酸水素アンモニウムの溶液(水中に70質量%)を継続的に添加した。投与中、温度を103℃に下落させ、水を還流させながら沸騰させた。反応混合物を100℃に低下させ、100℃にて4時間撹拌した。CDClおよびMeOD中のH−NMR−分光法での粗生成物の分析により、亜硫酸エステルを添加したことでの、望ましい構造の形成、および二重結合の完全な消失が確認された。ジエステル官能基は手つかずのままであった。反応混合物を90℃に冷まし、171.17gの水を添加した。温度を80℃にさらに低下させた後で、171.16gのブチルジエチレングリコールを添加した。80℃にて10分間撹拌した後で、反応混合物を分離漏斗中に移し、下相(7.9g)を除去した(7.9g)。上相(875g)は、44.5質量パーセントの望ましい構造(CDClおよびMeOD中のH−NMR−分光法によりやはり示される)、8.68質量%のネオペンチルグリコール、19.61質量%のブチルジエチレングリコールおよび23.5質量%の水(残りの2.36質量%は塩)を含有していた。溶液を2℃にて2週間保存した。溶液は透明であり、沈殿物を一切示さなかった。
b’)ジ(3,5,5−トリメチルヘキシル)スルホスクシネートアンモニウム塩
ブレードアジテーター、PT100−熱電対、還流冷却器を備えた1リットル4口丸底フラスコに、202g(0.55mol、1.0等量)の、マレイン酸ジ(3,5,5−トリメチルヘキシル)エステルおよびフマル酸ジ(3,5,5−トリメチルヘキシル)エステルを材料として93:7の混合物、ならびに266gのメトキシ−2−プロパノール(電子グレード)を仕込み、20℃にて撹拌した。温度を105℃に上昇させ、その後2時間にわたって97g(0.69molの亜硫酸水素アンモニウム、1.25等量)の亜硫酸水素アンモニウムの溶液(水中に70質量%)を継続的に添加した。投与中、温度を100から105℃の間で変化させ、水を還流させながら沸騰させた。反応混合物を100℃に低下させ、100℃にて4時間撹拌した。CDClおよびMeOD中のH−NMR−分光法による粗生成物の分析により、亜硫酸エステルを添加したことでの、望ましい構造の形成、および二重結合の完全な消失が確認された。ジエステル官能基は手つかずのままであった。窒素流(1時間当たり1.5倍の反応器体積)を液体全体に流し、共沸蒸留により、80から100℃にて、および800から450mbarの減圧下で水を除去した。メトキシ−2−プロパノールの損失を補うために、133gのメトキシ−2−プロパノール(電子グレード)を2回、それぞれ添加した。目標は、対応するスルホスクシネートアンモニウム塩のおおよそ50から55質量%の有効含有量を有することであった。反応混合物を20℃に冷まし、不溶性の塩を除去するために、Seitz K150フィルターで濾過した。458gの溶液が得られた。溶液は、53.7質量%の望ましい化合物、45.8質量%のメトキシ−2−プロパノールおよび0.5質量%の水を含有していた。溶液は、CDClおよびMeOD中のH−NMR−分光法によりやはり示されるように望ましい構造を含有していた。水の含有量を、Karl−Fischer−分析により判定した。メトキシ−2−プロパノールの含有量を定量的ガスクロマトグラフィーにより判定した。望ましい構造の収率は96mol%(望ましい界面活性剤構造の理論量に対して)(不溶性の塩を濾過し、除去するため、界面活性剤の少ない成分が吸着される際に材料が多少失われた)であった。金属イオン含有量は10ppm未満であった。
c)ジ(イソノニル)スルホスクシネートアンモニウム塩
ブレードアジテーター、PT100−熱電対、還流冷却器を備えた1リットル4口丸底フラスコに、106.7g(0.289mol、1.0等量)の、マレイン酸ジ(イソノニル)エステルおよびフマル酸ジ(イソノニル)エステルを材料とした83:17混合物、ならびに135gのメトキシ−2−プロパノール(電子グレード)を仕込み、20℃にて撹拌した。温度を105℃に上昇させ、その後2時間にわたって51.3g(0.362molの亜硫酸水素アンモニウム、1.25等量)の亜硫酸水素アンモニウムの溶液(水中に70質量%)を継続的に添加した。投与中、温度を100から105℃の間で変化させ、水を還流させながら沸騰させた。反応混合物を100℃に低下させ、100℃にて4時間撹拌した。CDClおよびMeOD中のH−NMR−分光法での粗生成物の分析により、亜硫酸エステルを添加したことでの、望ましい構造の形成、および二重結合の完全な消失が確認された。ジエステル官能基は手つかずのままであった。窒素流(1時間当たり1.5倍の反応器体積)を液体上に流し、80から100℃にて、および700から250mbarの減圧下での共沸蒸留により水を除去した。メトキシ−2−プロパノールの損失を補うために、2回、それぞれ62gのメトキシ−2−プロパノール(電子グレード)を添加した。目標は、対応するスルホスクシネートアンモニウム塩のおおよそ50から57質量%の有効含有量を有することであった。反応混合物を20℃に冷まし、不溶性の塩を除去するために、Seitz K150フィルターで濾過した。220gの溶液が得られた。溶液は、56.5%の望ましい化合物、43.2%のメトキシ−2−プロパノールおよび0.3%の水を含有していた。溶液は、CDClおよびMeOD中のH−NMR−分光法によりやはり示されるように、望ましい構造を含有していた。水の含有量を、Karl−Fischer−分析により判定した。メトキシ−2−プロパノールの含有量を、定量的ガスクロマトグラフィーにより判定した。望ましい構造の収率は92mol%(望ましい界面活性剤構造の理論量に対して)(不溶性の塩を濾過し、除去するため、界面活性剤の少ない成分が吸着される際に材料が多少失われた)であった。溶液を2週間10℃にて保存した。溶液は透明であり、沈殿物を一切示さなかった。金属イオン含有量は10ppm未満であった。
d)ジ(2−プロピルヘプチル)スルホスクシネートアンモニウム塩
ブレードアジテーター、PT100−熱電対、還流冷却器を備えた1リットル4口丸底フラスコに、150g(0.387mol、1.0等量)の、マレイン酸ジ(2−プロピルヘプチル)エステルおよびフマル酸ジ(2−プロピルヘプチル)エステルを材料として95:5の混合物、ならびに190gのメトキシ−2−プロパノール(電子グレード)を仕込み、20℃にて撹拌した。温度を105℃に上昇させ、その後の2時間にわたって、66.9g(0.473molの亜硫酸水素アンモニウム、1.25等量)の亜硫酸水素アンモニウムの溶液(水中に70質量%)を継続的に添加した。投与中、温度を100から105℃の間で変化させ、水を還流させながら沸騰させた。反応混合物を100℃に低下させ、100℃にて4時間撹拌した。CDClおよびMeOD中のH−NMR−分光法による粗生成物の分析により、亜硫酸エステルを添加したことでの、望ましい構造の形成、および二重結合の完全な消失を確認した。ジエステル官能基は手つかずのままであった。窒素流(1時間当たり1.5倍の反応器体積)を液体上に流し、80から100℃にて、および700から250mbarの減圧下で共沸蒸留により水を除去した。メトキシ−2−プロパノールの損失を補うために、2回、それぞれ130gのメトキシ−2−プロパノール(電子グレード)を添加した。目標は、対応するスルホスクシネートアンモニウム塩のおおよそ50から65質量%の有効含有量を有することであった。反応混合物を20℃に冷まし、不溶性の塩を除去するために、Seitz K150フィルターで濾過した。220gの溶液が得られた。溶液は、62.5質量%の望ましい化合物、37.2質量%のメトキシ−2−プロパノールおよび0.3質量%の水を含有していた。溶液は、CDClおよびMeOD中のH−NMR−分光法によりやはり示されるように、望ましい構造を含有していた。水の含有量をKarl−Fischer−分析により判定した。メトキシ−2−プロパノールの含有量を定量的ガスクロマトグラフィーにより判定した。望ましい構造の収率は、91mol%(望ましい界面活性剤構造の理論量に対して)(不溶性の塩を濾過し、除去するため、界面活性剤の少ない成分が吸着される際に材料が多少失われた)であった。溶液を2週間20℃にて保存した。溶液はわずかに分散したが、それでも均一であった。金属イオン含有量は10ppm未満であった。
3)ジアルキルスルホスクシネートナトリウム塩(本発明によらない)
a)ジ(2−エチルヘキシル)スルホスクシネートナトリウム塩
ブレードアジテーター、PT100−熱電対、還流冷却器を備えた4リットル4口丸底フラスコに、55.4g(0.163mol、1.0等量)の、マレイン酸ジ(2−エチルヘキシル)エステルおよびフマル酸ジ(2−エチルヘキシル)エステルを材料として84:16の混合物、ならびに396gの1,4−ジオキサンを仕込み、20℃にて撹拌した。温度を50℃に上昇させ、その後の1時間にわたって1108.35g(4.26molの亜硫酸水素ナトリウム、26.15等量)の亜硫酸水素ナトリウムの溶液(水中に40質量%)を継続的に添加し、このpH値を、19.2g(0.24mol、1.47等量)の水酸化ナトリウムの溶液(水中に50質量%)でpH=5に調整した。温度を88℃に上昇させ、反応混合物を88℃にて24時間撹拌した。その後、30分以内に追加の347.87g(1.441mol、8.84等量)の亜硫酸水素ナトリウムの溶液(水中に40質量%)を継続的に添加し、このpH値を、7g(0.0875mol、0.54等量)の水酸化ナトリウムの溶液(水中に50質量%)でpH=5に調整した。48時間撹拌した後で、30分以内に追加の347.87g(1.441mol、8.84等量)の亜硫酸水素ナトリウムの溶液(水中に40質量%)を継続的に添加し、このpH値を、7g(0.0875mol、0.54等量)の水酸化ナトリウムの溶液(水中に50質量%)でpH=5に調整した。60時間後に、88℃にて、反応混合物を分離漏斗に移し、2つの相を分離した。90℃および10mbar未満で4時間ロータリーエバポレーターを使用して、有機相から、水および1,4−ジオキサンを除去した。CDClおよびMeOD中のH−NMR−分光法により示されるように、亜硫酸エステルを添加することでの、望ましい構造の形成および二重結合の完全な消失が発生した。望ましい構造の収率は、100mol%(存在するエステル構造に対して)であり、生成物を、他の塩との混合物として得た。
b)ジ(3,5,5−トリメチルヘキシル)スルホスクシネートナトリウム塩
ブレードアジテーター、PT100−熱電対、還流冷却器を備えた4リットル4口丸底フラスコに、60.0g(0.163mol、1.0等量)の、マレイン酸ジ(3,5,5−トリメチルヘキシル)エステルおよびフマル酸ジ(3,5,5−トリメチルヘキシル)エステルを材料として80:20の混合物、ならびに396gの1,4−ジオキサンを仕込み、20℃にて撹拌した。温度を50℃に上昇させ、その後の1時間にわたって1108.35g(4.26molの亜硫酸水素ナトリウム、26.15等量)の亜硫酸水素ナトリウムの溶液(水中に40質量%)を継続的に添加し、このpH値を、19.2g(0.24mol、1.47等量)の水酸化ナトリウムの溶液(水中に50質量%)でpH=5に調整した。温度を88℃に上昇させ、反応混合物を88℃にて24時間撹拌した。その後、30分以内に追加の347.87g(1.441mol、8.84等量)の亜硫酸水素ナトリウムの溶液(水中に40質量%)を継続的に添加し、このpH値を、7g(0.0875mol、0.54等量)の水酸化ナトリウムの溶液(水中に50質量%)でpH=5に調整した。48時間撹拌した後で、30分以内に追加の347.87g(1.441mol、8.84等量)の亜硫酸水素ナトリウムの溶液(水中に40%)を継続的に添加し、このpH値を、7g(0.0875mol、0.54等量)の水酸化ナトリウムの溶液(水中に50質量%)でpH=5に調整した。60時間後に、88℃にて、反応混合物を分離漏斗に移し、2つの相を分離した。90℃および10mbar未満で4時間ロータリーエバポレーターを使用して、有機相から、水および1,4−ジオキサンを除去した。CDClおよびMeOD中のH−NMR−分光法により示されるように、亜硫酸エステルを添加することでの、望ましい構造の形成および二重結合の完全な消失が発生した。望ましい構造の収率は、100mol%(存在するエステル構造に対して)であり、生成物を、他の塩との混合物として得た。
4)ジアルキルスルホスクシネートコリン塩
a)ジ(2−エチルヘキシル)スルホスクシネートコリン塩
ブレードアジテーター、PT100−熱電対、pHメーター、還流冷却器を備えた4リットル4口丸底フラスコに、1130gのメトキシ−2−プロパノールを仕込み、273gのAmberlyst 15(イオン交換体、酸型)を20℃にて添加しつつ、添加中に温度を28℃に上昇させた。180.26g(0.406mol、1.0等量)のジ(2−エチルヘキシル)スルホスクシネートナトリウム塩を、898.8gのメトキシ−2−プロパノールに溶解し、不溶性の塩を除去するためにSeitz K900フィルターで濾過した。15分後、20分以内に、メトキシ−2−プロパノールに溶解した、言及されている180.26g(0.406mol、1.0等量)のジ(2−エチルヘキシル)スルホスクシネートナトリウム塩を、4リットル4口丸底フラスコに滴下添加した。反応混合物を40℃にて4時間撹拌し、不溶性の塩を除去するためにSeitz K900フィルターで濾過した。
4リットル4口丸底フラスコにおいて、追加の560gのメトキシ−2−プロパノールを添加し、その後135gのAmberlyst 15を添加した。次いで、上で言及されている濾過した溶液を添加し、反応混合物を40℃にて3時間撹拌し、次いで再度濾過した。反応混合物を、水酸化コリンの水溶液(水中に23%)で中和した。そうするには、22℃にてpH値7.2を達成するために、43.5g(0.359mol)の水酸化コリンが必要であった(おおよそ10mol%のジ(2−エチルヘキシル)スルホスクシネートナトリウム塩(望ましい界面活性剤構造の理論量に対して)が、イオン交換材料での吸着により、または濾過により失われるため、理論量の0.406molの水酸化コリンは必要ではなかった)。ロータリーエバポレーター中において、減圧下(10mbar未満)で60℃にて、メトキシ−2−プロパノールおよび水を除去した。188.5gの物質が得られた。CDClおよびMeOD中のH−NMR−分光法により示されるように、望ましい構造の形成および対イオンの完全な交換が発生した。50質量%溶液を得るために、188.5gのメトキシ−2−プロパノールを添加し、混合物を、Seitz K200フィルターで濾過した。376gの赤みを帯びた液体が得られ、これは、50質量%の望ましい最終構造(望ましい生成物)を含有していた。
a’)ジ(2−エチルヘキシル)スルホスクシネートコリン塩
カラムを、湿ったAmberlyst(Amberlyst 15水素型、Flukaにより提供された)で、おおよそ20cmの高さまで満たした。ベッド体積は、およそ280mlであった。100gの湿ったAmberlystがあるカラムにおいて、有色の副産物または残存量のナトリウムイオンを除去するために、1120gの水(電子グレード)でこれをフラッシュした。その後、水を除去するために、560gのメトキシ−2−プロパノール(電子グレード)でカラムをフラッシュした。次いで、94.9g(0.118mol、1.0等量)のジ(2−エチルヘキシル)スルホスクシネートアンモニウム塩(メトキシ−2−プロパノール中に54.6質量%)の溶液を、112.3gのメトキシ−2−プロパノール(電子グレード)で希釈して、有効含有量の25%(質量%)に調整した。次いで、この希釈した溶液を、32分以内にカラムに滴下添加した。その後、カラムを560gのメトキシ−2−プロパノール(電子グレード)でフラッシュした。
カラムから出た液体の最初の150mlは、収集しなかった。20分後、画分番号1:170.84g(この量を収集するのにおおよそ30分かかった)を収集し、分析した(定量的ガスクロマトグラフィーによれば、2.1質量%の水含有量、74.2質量%のメトキシ−2−プロパノール、酸価:25.6mg KOH/g→0.0781molの酸)。次いで、画分番号2を収集し:おおよそ23分かかり、画分を分析した(定量的ガスクロマトグラフィーによれば1.5質量%の水含有量、83質量%のメトキシ−2−プロパノール、酸価:9.0mg KOH/g→0.0264molの酸)。画分番号3も収集した(270ml、おおよそ25分かかった)が、酸の存在を示さず、遊離スルホン酸は存在しなかったことをやはり意味する(定量的ガスクロマトグラフィーによれば1.0質量%の水含有量、96.8質量%のメトキシ−2−プロパノール、酸価:0mg KOH/g→0molの酸)。酸価に従って、界面活性剤を、対応するスルホン酸に変換し、おおよそ90から95mol%(望ましい界面活性剤構造の理論量に対して)の界面活性剤を収集した。イオン交換体材料での吸着のため、残りは失われた。カラムまたはイオン交換体を、水/メトキシ−2−プロパノール(90/10、それぞれ電子グレード)中の5質量%溶液として、3.3リットルの塩酸(電子グレード)で再生し、最後に3lの水(電子グレード)でフラッシュした。
画分番号1および2を組み合わせ、そのうちの試料を(ロータリーエバポレーターを使用して)溶媒から遊離し、分析した:元素分析ならびにMeODおよびCDCl中の1H−NMR分光法により、対応する遊離スルホン酸への変換は>95mol%の程度まで行われたことが示された(望ましい界面活性剤構造の理論量に対して)。画分番号1および2の組合せにより、335.0 gが得られ、これを500ml 4口丸底フラスコで22℃にて、水酸化コリンの水溶液(水中に46質量%)で中和した。組み合わせた画分の335.0gに対して、22℃にて7.06のpH値を調整するために、26.08g(0.0990mol)の水酸化コリンが、必要であった(ジ(2−エチルヘキシル)スルホスクシネートアンモニウム塩は、Amberlystに吸着されることにより失われるため、理論量の0.118molは必要ではなかった)。溶液を、Seitz K150フィルターで濾過した。336.5gの赤みを帯びた液体が得られ、これは、15.4質量%の望ましい構造(定量的ガスクロマトグラフィーによればメトキシ−2−プロパノール78.2質量%、Karl−Fischerによれば水6.4質量%)を含有していた。
減圧下および中温(60℃、10mbar)で、メトキシ−2−プロパノールを用いて、共沸蒸留により水を除去した。102gの液体が得られ、これを分析した。液体は、51質量%の望ましい構造を含有しており、これを、MeODおよびCDCl中のH−NMR分光法により確認し、メトキシ−2−プロパノールは47.7質量%、および水は0.3質量%であった。水含有量を、Karl−Fischer分析により判定しつつ、定量的ガスクロマトグラフィーでのメトキシ−2−プロパノールの含有量の判定、および水含有量の判定により、有効含有量を間接的に判定した(真空下において、代替法で溶媒を完全に除去することにより、有効含有量を判定するために先に記載されている方法が校正されるので)。最後に、溶液を、Seitz K150フィルターで濾過した。しかし、生成物(約1g)と混合した少量の塩を分離した。溶液を2週間10℃にて保存した。溶液は透明であり、沈殿物を一切示さなかった。金属イオン含有量は10ppm未満であった。
b)ジ(3,5,5−トリメチルヘキシル)スルホスクシネートコリン塩
ブレードアジテーター、PT100−熱電対、pHメーター、還流冷却器を備えた4リットル4口丸底フラスコに、1130gのメトキシ−2−プロパノールを仕込み、273gのAmberlyst 15(イオン交換体、酸型)を20℃にて添加しつつ、添加中に、温度を28℃に上昇させた。191.63g(0.406mol、1.0等量)のジ(3,5,5−トリメチルヘキシル)スルホスクシネートナトリウム塩を、898.8gのメトキシ−2−プロパノールに溶解し、不溶性の塩を除去するために、Seitz K900フィルターで濾過した。15分後、20分以内に、メトキシ−2−プロパノールに溶解した、言及されている191.63g(0.406mol、1.0等量)のジ(3,5,5−トリメチルヘキシル)スルホスクシネートナトリウム塩を、4リットル4口丸底フラスコに滴下添加した。反応混合物を40℃にて4時間撹拌し、不溶性の塩を除去するためにSeitz K900フィルターで濾過した。
4リットル4口丸底フラスコにおいて、追加の560gのメトキシ−2−プロパノールを添加し、その後、135gのAmberlyst 15を添加した。次いで、上で言及されている濾過した溶液を添加し、反応混合物を40℃にて3時間撹拌し、次いで再度濾過した。反応混合物を、水酸化コリンの水溶液(水中に23質量%)で中和した。そうするには、22℃にて7.2のpH値を達成するために43.5g(0.359mol)の水酸化コリンが必要であった(おおよそ10mol%のジ(3,5,5−トリメチルヘキシル)スルホスクシネートナトリウム塩(望ましい界面活性剤構造の理論量に対して)が、イオン交換材料における吸着のため、または濾過のため失われたことにより、理論量の0.406molの水酸化コリンは、必要ではなかった)。ロータリーエバポレーター中で、減圧下(10mbar未満)で60℃にて、メトキシ−2−プロパノールおよび水を除去した。190.7gの物質が得られた。CDClおよびMeOD中のH−NMR−分光法により示されるように、望ましい構造の形成および対イオンの完全な交換が発生した。50質量%溶液を得るために、190.7gのメトキシ−2−プロパノールを添加し、混合物を、Seitz K200フィルターで濾過した。368.04gの赤みを帯びた液体が得られ、これは、50質量%の望ましい最終構造(望ましい生成物)を含有していた。
b’)ジ(3,5,5−トリメチルヘキシル)スルホスクシネートコリン塩
カラムを、湿ったAmberlyst(Amberlyst 15水素型、Flukaにより提供された)で、おおよそ20cmの高さまで満たした。ベッド体積は、およそ280mlであった。100gの湿ったAmberlystがあるカラムにおいて、有色の副産物または残存量のナトリウムイオンを除去するために、1120gの水(電子グレード)でこれをフラッシュした。その後、水を除去するために、560gのメトキシ−2−プロパノール(電子グレード)でカラムをフラッシュした。次いで、102.3g(0.118mol、1.0等量)のジ(3,5,5−トリメチルヘキシル)スルホスクシネートアンモニウム塩(メトキシ−2−プロパノール中の53.7質量%)の溶液を、117.5gのメトキシ−2−プロパノール(電子グレード)で希釈して、有効含有量の25%(質量%)に調整した。次いで、この希釈した溶液を、カラムに32分以内に滴下添加した。その後、560gのメトキシ−2−プロパノール(電子グレード)でカラムをフラッシュした。
カラムから出た液体の最初の150mlは、収集しなかった。20分後、画分番号1:171.77g(この量を収集するのにおおよそ30分かかった)を収集し、分析した(定量的ガスクロマトグラフィーによれば2.2質量%の水含有量、74.2質量%のメトキシ−2−プロパノール、酸価:24.9mg KOH/g→0.0762molの酸)。次いで、画分番号2を収集した:おおよそ23分かかり、画分を分析した(定量的ガスクロマトグラフィーによれば1.6質量%の水含有量、86質量%のメトキシ−2−プロパノール、酸価:10.0mg KOH/g→0.0337molの酸)。画分番号3も収集した(260ml、おおよそ20分かかった)が、酸の存在を示さず、遊離スルホン酸は存在しなかったことをやはり意味する(定量的ガスクロマトグラフィーによれば0.8質量%の水含有量、93.8質量%のメトキシ−2−プロパノール、酸価:0mg KOH/g→0molの酸)。酸価に従って、界面活性剤を対応するスルホン酸に変換し、おおよそ93mol%(望ましい界面活性剤構造の理論量に対して)を収集した。残りは、イオン交換体材料での吸着のため失われた。カラムまたはイオン交換体を、水/メトキシ−2−プロパノール(90/10、それぞれ電子グレード)中の5質量%溶液として、3.3リットルの塩酸(電子グレード)で再生し、最後に3lの水(電子グレード)でフラッシュした。
画分番号1および2を組み合わせ、そのうちの試料を(ロータリーエバポレーターを使用して)溶媒から遊離し、分析した:元素分析ならびにMeODおよびCDCl中の1H−NMR分光法により、対応する遊離スルホン酸への変換は>95mol%の程度まで行われたことが示された(望ましい界面活性剤構造の理論量に対して)。画分番号1および2を組み合わせることにより333.2gが得られ、これを500ml 4口丸底フラスコ中において、22℃にて、水酸化コリンの水溶液(水中に46質量%)で中和した。組み合わせた画分の333.2gに対して、22℃にて7.06のpH値を調整するために、25.58g(0.0971mol)の水酸化コリンが必要であった(ジ(3,5,5−トリメチルヘキシル)スルホスクシネートアンモニウム塩は、Amberlystに吸着されることにより失われるため、理論量の0.118molは必要ではなかった)。溶液を、Seitz K150フィルターで濾過した。332.4gの赤みを帯びた液体が得られ、これは、15.2質量%の望ましい構造(定量的ガスクロマトグラフィーによればメトキシ−2−プロパノール78.8質量%、Karl−Fischerによれば水6.0質量%)を含有していた。
減圧下および中温(60℃、10mbar)で、メトキシ−2−プロパノールを用いて、共沸蒸留により水を除去した。101gの液体が得られ、これを分析した。液体は、50質量%の望ましい構造を含有しており、これを、MeODおよびCDCl中のH−NMR分光法により確認し、メトキシ−2−プロパノールは49.8質量%、および水は0.2質量%であった。水含有量を、Karl−Fischer分析により判定しつつ、定量的ガスクロマトグラフィーでのメトキシ−2−プロパノールの含有量の判定、および水含有量の判定により、有効含有量を間接的に判定した(真空下において、代替法で溶媒を完全に除去することにより、有効含有量を判定するために先に記載されている方法が校正されるので)。最後に、溶液を、Seitz K150フィルターで濾過した。しかし、生成物(約1g)と混合した少量の塩を分離した。溶液を2週間10℃にて保存した。溶液は透明であり、沈殿物を一切示さなかった。金属イオン含有量は10ppm未満であった。
c)ジ(イソノニル)スルホスクシネートコリン塩
カラムを、湿ったAmberlyst(Amberlyst 15水素型、Flukaにより提供された)で、おおよそ20cmの高さまで満たした。ベッド体積は、およそ280mlであった。100gの湿ったAmberlystがあるカラムにおいて、有色の副産物または残存量のナトリウムイオンを除去するために、1120gの水(電子グレード)でこれをフラッシュした。その後、水を除去するために、560gのメトキシ−2−プロパノール(電子グレード)でカラムをフラッシュした。次いで、97.5g(0.118mol、1.0等量)のジ(イソノニル)スルホスクシネートアンモニウム塩(メトキシ−2−プロパノール中に56.5質量%)の溶液を、有効含有量の25%(質量%)に調整するために、123gのメトキシ−2−プロパノール(電子グレード)で希釈した。次いで、この希釈した溶液を32分以内にカラムに滴下添加した。その後、560gのメトキシ−2−プロパノール(電子グレード)でカラムをフラッシュした。
カラムから出た液体の最初の150mlは、収集しなかった。20分後、画分番号1:172.4g(この量を収集するのにおおよそ30分かかった)を収集し、分析した(定量的ガスクロマトグラフィーによれば2.1質量%の水含有量、75.1質量%のメトキシ−2−プロパノール、酸価:25.3mg KOH/g→0.0778molの酸)。次いで、画分番号2を収集した:おおよそ23分かかり、画分を分析した(定量的ガスクロマトグラフィーによれば1.5質量%の水含有量、87質量%のメトキシ−2−プロパノール、酸価:9.0mg KOH/g→0.0260molの酸)。画分番号3も収集した(250ml、おおよそ20分かかった)が、酸の存在を示さず、遊離スルホン酸は存在しなかったことをやはり意味する(定量的ガスクロマトグラフィーによれば0.9質量%の水含有量、95.1質量%のメトキシ−2−プロパノール、酸価:0mg KOH/g→0molの酸)。酸価によれば、界面活性剤を対応するスルホン酸に変換し、おおよそ94mol%(望ましい界面活性剤構造の理論量に対して)を収集した。残りは、イオン交換体材料での吸着のため失われた。カラムまたはイオン交換体を、水/メトキシ−2−プロパノール(90/10、それぞれ電子グレード)中の5質量%溶液として、3.3リットルの塩酸(電子グレード)で再生し、最後に3lの水(電子グレード)でフラッシュした。
画分番号1および2を組み合わせ、そのうちの試料を(ロータリーエバポレーターを使用して)溶媒から遊離し、分析した:元素分析ならびにMeODおよびCDCl中の1H−NMR分光法により、対応する遊離スルホン酸への変換は>95mol%の程度まで行われたことが示された(望ましい界面活性剤構造の理論量に対して)。画分番号1および2を組み合わせることにより334.3gが得られ、これを、500ml 4口丸底フラスコ中で、22℃にて水酸化コリンの水溶液(水中に46質量%)で中和した。組み合わせた画分の334.3gに対して、22℃にて7.06のpH値を調整するために25.29g(0.0960mol)の水酸化コリンが必要であった(ジ(イソノニル)スルホスクシネートアンモニウム塩は、Amberlystに吸着されることにより失われるため、理論量の0.118molは必要ではなかった)。溶液を、Seitz K150フィルターで濾過した。330.8gの赤みを帯びた液体が得られ、これは、16.0質量%の望ましい構造(定量的ガスクロマトグラフィーによればメトキシ−2−プロパノール77.9質量%、Karl−Fischerによれば水6.1質量%)を含有していた。
減圧下および中温(60℃、10 mbar)で、メトキシ−2−プロパノールを用いて、共沸蒸留により水を除去した。102gの液体が得られ、これを分析した。液体は、52質量%の望ましい構造を含有しており、これを、MeODおよびCDCl中のH−NMR分光法により確認し、メトキシ−2−プロパノール47.8質量%、および水は0.2質量%であった。水含有量を、Karl−Fischer分析により判定しつつ、定量的ガスクロマトグラフィーでのメトキシ−2−プロパノールの含有量の判定、および水含有量の判定により、有効含有量を間接的に判定した(真空下において、代替法で溶媒を完全に除去することにより、有効含有量を判定するために先に記載されている方法が校正されるので)。最後に、溶液を、Seitz K150フィルターで濾過した。しかし、生成物(約1g)と混合した少量の塩を分離した。溶液を2週間20℃にて保存した。溶液は透明であり、沈殿物を一切示さなかった。金属イオン含有量は10ppm未満であった。
d)ジ(2−プロピルヘプチル)スルホスクシネートコリン塩
カラムを、湿ったAmberlyst(Amberlyst 15水素型、Flukaにより提供された)でおおよそ20cmの高さまで満たした。ベッド体積は、およそ280mlであった。100gの湿ったAmberlystがあるカラムにおいて、有色の副産物または残存量のナトリウムイオンを除去するために、1120gの水(電子グレード)でこれをフラッシュした。その後、水を除去するために、560gのメトキシ−2−プロパノール(電子グレード)でカラムをフラッシュした。次いで、93.5g(0.118mol、1.0等量)のジ(2−プロピルヘプチル)スルホスクシネートアンモニウム塩(メトキシ−2−プロパノール中に62.5質量%)の溶液を、有効含有量の25%(質量%)を調整するために、140.1gのメトキシ−2−プロパノール(電子グレード)で希釈した。次いで、この希釈した溶液を32分以内にカラムに滴下添加した。その後、560gのメトキシ−2−プロパノール(電子グレード)でカラムをフラッシュした。
カラムから出た液体の最初の150mlは、収集しなかった。20分後、画分番号1:174.8g(この量を収集するのにおおよそ30分かかった)を収集し、分析した(定量的ガスクロマトグラフィーによれば2.3質量%の水含有量、78.2質量%のメトキシ−2−プロパノール、酸価:23.7mg KOH/g→0.0740molの酸)。次いで、画分番号2を収集した:おおよそ23分かかり、画分を分析した(定量的ガスクロマトグラフィーによれば1.4質量%の水含有量、87質量%のメトキシ−2−プロパノール、酸価:11.0mg KOH/g→0.0306molの酸)。画分番号3も収集した(250ml、おおよそ20分かかった)が、酸の存在を示さず、遊離スルホン酸は存在しなかったことをやはり意味する(定量的ガスクロマトグラフィーによれば0.8質量%の水含有量、93.8質量%のメトキシ−2−プロパノール、酸価:0mg KOH/g→0molの酸)。酸価によれば界面活性剤を対応するスルホン酸に変換し、おおよそ93mol−%を収集した(望ましい界面活性剤構造の理論量に対して)。残りは、イオン交換体材料での吸着のため失われた。カラムまたはイオン交換体を、水/メトキシ−2−プロパノール(90/10、それぞれ電子グレード)中の5質量%溶液として、3.3リットルの塩酸(電子グレード)で再生し、最後に3lの水(電子グレード)でフラッシュした。
画分番号1および2を組み合わせ、そのうちの試料を(ロータリーエバポレーターを使用して)溶媒から遊離し、分析した:元素分析ならびにMeODおよびCDCl中の1H−NMR分光法により、対応する遊離スルホン酸への変換は>95mol%の程度まで行われたことが示された(望ましい界面活性剤構造の理論量に対して)。画分番号1および2の組合せにより330.7gが得られ、これを、500ml 4口丸底フラスコ中で、22℃にて水酸化コリンの水溶液(水中に46質量%)で中和した。組み合わせた画分330.7gに対して、22℃にて7.06のpH値を調整するために、25.40g(0.0964mol)の水酸化コリンが必要であった(ジ(2−プロピルヘプチル)スルホスクシネートアンモニウム塩は、Amberlystに吸着されることにより失われるため、理論量の0.118molは必要ではなかった)。溶液を、Seitz K150フィルターで濾過した。334.7gの赤みを帯びた液体が得られ、これは、16.7質量%の望ましい構造(定量的ガスクロマトグラフィーによればメトキシ−2−プロパノール77.2質量%、Karl−Fischerによれば水6.1質量%)を含有していた。
減圧下および中温(60℃、10mbar)で、メトキシ−2−プロパノールを用いて、共沸蒸留により水を除去した。112gの液体が得られ、これを分析した。液体は、50.1質量%の望ましい構造を含有しており、これを、MeODおよびCDCl中のH−NMR分光法により確認し、メトキシ−2−プロパノールは49.7質量%、および水は0.2質量%であった。水含有量を、Karl−Fischer分析により判定しつつ、定量的ガスクロマトグラフィーでのメトキシ−2−プロパノールの含有量の判定、および水含有量の判定により、有効含有量を間接的に判定した(真空下において、代替法で溶媒を完全に除去することにより、有効含有量を判定するために先に記載されている方法が校正されるので)。最後に、溶液を、Seitz K150フィルターで濾過した。しかし、生成物(約1g)と混合した少量の塩を分離した。金属イオン含有量は10ppm未満であった。
合成手順では、上記の(および下記の)メトキシ−2−プロパノールは、1−メトキシ−2−プロパノールを意味する。
チャプター4(例えば構造4b)に記載されている構造の代わりの手段は、チャプター1(例えば構造1b’)に記載されている、対応するマレイン酸/フマル酸ジエステルを亜硫酸水素コリンで処理することである。亜硫酸水素コリンは、水酸化コリンまたは炭酸水素コリンの水溶液と、亜硫酸または二酸化硫黄の反応により製造できる。亜硫酸または二酸化硫黄を、pH値4から5の間(例えば約4.5)が達成されるまで、水酸化コリンまたは炭酸水素コリンの水溶液に添加する。得られた亜硫酸水素コリンの水溶液は、減圧下で水を除去することにより、任意に濃縮してよい(例えば水中に>50質量%の亜硫酸水素コリン)。亜硫酸水素コリンの、マレイン酸/フマル酸ジエステルへの添加は、1.0等量のジエステルにつき1.0〜2.5等量(より好ましくは1.0〜1.3等量)の亜硫酸水素コリンを使用する手段で行われ得る。反応は、水および第2の溶媒(例えば1−メトキシ−2−プロパノール、2−ブタノールまたは2−プロパノールであって、2−プロパノールが好ましい)中で行われ得る。温度は、40から105℃の間で変化させてよい。ジエステルを望ましい生成物に完全に変換した後で、水は、共沸蒸留(メトキシ−2−プロパノールまたは2−ブタノールまたは2−プロパノールをそれぞれ使用して)および減圧により除去できる。界面活性剤濃縮物(>30質量%の界面活性剤含有量)が得られ、これは、任意に濾過してよい。
一般に、本明細書に上で記載されているが、合成は記載されていない)他のアンモニウム塩は、合成が上で記載されているアンモニウム塩と類似した手段で作られ得る。本発明によるトリエステル系界面活性剤のケースでは、このアンモニウム塩は、酸無水物を使用することによってではなく、対応するカルボン酸(例えばトリカルバリン酸)を使用し、それを、望ましいアルコール(例えば1等量のトリカルバリン酸を3等量のアルコールと共に、触媒的に有効量の酸触媒、例えばp−トルエンスルホン酸の存在下で混合し、反応水は、トルエンを溶媒として、および水分離器を使用して、上昇温度にて除去され得る)でエステル化することによって開始することになる。亜硫酸水素塩の添加、続いて任意にカチオン交換工程が、以前に記載されているように行われ得る。

Claims (21)

  1. スルホブタン二酸ジエステル、
    (スルホメチル)−ブタン二酸ジエステル、
    メチル−スルホブタン二酸ジエステル、
    スルホグルタル酸ジエステル、
    および
    スルホトリカルバリン酸トリエステル
    からなる群から選択される1種または複数の化合物の1種または複数のアンモニウム塩を含む組成物を、
    基板、およびそれに支持されている、ライン幅が50nm以下のライン−スペース構造を有するパターン化材料層を含む製品を洗浄する、またはすすぐために使用する方法。
  2. 1種超のアンモニウム塩の1種または少なくとも1種が、
    − トリス(2−ヒドロキシエチル)アンモニウム、
    − ビス(2−ヒドロキシエチル)アンモニウム、
    − トリス(2−ヒドロキシエチル)メチルアンモニウム、
    − ビス(2−ヒドロキシエチル)ジメチルアンモニウム、
    − 2−ヒドロキシエチル−トリメチルアンモニウム、
    − 2−ヒドロキシエチル−トリエチルアンモニウム、
    − 2−ヒドロキシプロピル−トリメチルアンモニウム、
    − ビス(2−ヒドロキシプロピル)ジメチルアンモニウム、
    および
    − トリス(2−ヒドロキシプロピル)メチルアンモニウム
    からなる群から選択される少なくとも1つのアンモニウムカチオンを含む、請求項1に記載の使用方法。
  3. 1種超のアンモニウム塩の1種または少なくとも1種が、一般式(1)
    Figure 2018529989
    [一般式(1)の各エステル基において、互いに独立して、
    − nが0、1または2であり、
    − Xが水素、メチルまたはエチルであり、
    − Rが、
    − 非置換分岐アルキル、
    − 非置換直鎖状アルキル、
    − 置換直鎖状アルキル、
    − 置換分岐アルキル、
    − 非置換分岐アルケニル、
    − 非置換直鎖状アルケニル、
    − 置換直鎖状アルケニル、
    および
    − 置換分岐アルケニル
    からなる群から選択される]
    のエステル基2個または3個を有するアニオンを含む、請求項1または2に記載の使用方法。
  4. Rが、
    − 非置換分岐アルキル
    および
    − 非置換分岐アルケニル、
    からなる群から選択され、好ましくは一般式(1)の各エステル基において、互いに独立して、
    (a)(i)Rが、非置換分岐アルキルもしくは非置換分岐アルケニルの主炭素鎖において、5から8個の炭素原子、好ましくは6から7個の炭素原子の範囲の、炭素原子の合計数を有し、
    および/または
    (ii)Rが、非置換分岐アルキルもしくは非置換分岐アルケニルの側鎖において、1から4個の炭素原子、好ましくは2から3個の炭素原子の範囲の、炭素原子の合計数を有し、
    および/または
    (b)nが0であり、Rが、対応するカルボキシル基でエステル化したアルコールの残基であり、前記アルコールが、0.1から8、好ましくは1から5、より好ましくは1から3の範囲の分岐係数を有する、請求項3に記載の使用方法。
  5. Rが、互いに独立して、
    − 3,5,5−トリメチルヘキシル、
    − 2−エチルヘキシル、
    − 3,3−ジメチルブチル、
    − 2,4,4−トリメチルペンチル、
    − 2,2−ジメチルプロピル、
    − 2−プロピルヘプチル、
    − イソノニル、
    − イソデシル、
    − イソトリデシル、
    − 2,6−ジメチル−4−ヘプチル、
    − 1−イソ−ブチル−3,5−ジメチルヘキシル、
    − 5,7,7−トリメチル−2−(1,3,3−トリメチルブチル)オクチル、
    − 2−プロピルペンチル、
    − 1−エチル−2−メチルペンチル
    および
    − 6−メチル−2−ヘプチル
    からなる群から選択される、請求項3または4に記載の使用方法。
  6. 組成物が、
    − トリメチル−2−ヒドロキシエチルアンモニウムカチオンを含む1種または複数のアンモニウム塩
    および
    − 以下のアニオン:
    Figure 2018529989
    の1種または複数を含み、R1が、
    − 3,5,5−トリメチルヘキシル、
    − 2−エチルヘキシル、
    − 3,3−ジメチル−2−ブチル、
    および
    − 2,4,4−トリメチルペンチル
    からなる群から選択される、請求項1から5のいずれか一項に記載の使用方法。
  7. − 1種もしくは複数のアンモニウム塩のアンモニウムカチオンの合計量が、2×10−5から4×10−2mol/Lの範囲であり、
    および/または
    − 請求項3から6のいずれか一項で定義されている1種もしくは複数のアンモニウム塩のアニオンの合計量は、2×10−5から4×10−2mol/Lの範囲であり、
    および/または
    組成物が、臨界ミセル濃度で判定して、35mN/m未満、好ましくは30mN/m未満、より好ましくは27mN/m未満、最も好ましくは25mN/m未満の平衡表面張力を有する、請求項1から6のいずれか一項に記載の使用方法。
  8. パターン化材料層が、ライン幅が32nm以下、好ましくは22nm以下のライン−スペース構造を有する、請求項1から7のいずれか一項に記載の使用方法。
  9. 基板、およびそれに支持されている、ライン幅が50nm以下のライン−スペース構造を有するパターン化材料層を含む、洗浄した、またはすすいだ製品を作る方法であって、
    以下の工程:
    − 基板、およびそれに支持されている、ライン幅が50nm以下のライン−スペース構造を有するパターン化材料層を含む製品を製造する、または得る工程、
    − 請求項1から7のいずれか一項で定義されている組成物を製造する、または得る工程、
    ならびに
    − 前記組成物を有する前記製品を洗浄し、またはすすぎ、その結果、洗浄した、またはすすいだ製品を得る工程
    を含む、使用方法。
  10. 以下の工程:
    − 液浸フォトレジスト、EUVフォトレジストまたはeビームフォトレジスト層を有する基板を用意する工程、
    − 浸漬液の有無に関わらず、フォトレジスト層を化学線にマスクを通して曝露させる工程、
    − 曝露したフォトレジスト層を現像液で現像して、ライン幅が50nm以下のライン−スペース構造を有するパターンを得、その結果、基板、およびそれに支持されている、ライン幅が50nm以下のライン−スペース構造を有するパターン化材料層を含む製品を得る工程、
    − 前記組成物を有する前記製品を洗浄し、またはすすぎ、その結果、洗浄した、またはすすいだ製品を得る工程、
    ならびに、任意に、
    − 前記洗浄した、またはすすいだ製品を、好ましくは脱水機にかけることにより、またはマランゴニ効果を応用する乾燥プロセスにより乾燥させる工程
    を含む、請求項9に記載の使用方法。
  11. 組成物が、請求項1から7のいずれか一項で定義されており、かつ/またはパターン化材料層が、請求項8で定義されている、請求項9または10に記載の使用方法。
  12. − 水
    および
    − 請求項1から7のいずれか一項で定義されている1種または複数のアンモニウム塩
    および、任意に、
    − 1種もしくは複数の有機溶媒化合物
    を含む配合物であって、
    ハーゼン色数が1000未満、好ましくは100未満、より好ましくは50未満、
    および/または
    濁度が0.08から10NTUの範囲の、好ましくは0.2から5NTUの範囲の、より好ましくは0.2から1NTUの範囲である配合物。
  13. 1種または複数の化合物の1種または複数のアンモニウム塩が、
    (スルホメチル)−ブタン二酸ジエステル、
    メチル−スルホブタン二酸ジエステル、
    スルホグルタル酸ジエステル、
    および
    スルホトリカルバリン酸トリエステル
    からなる群から選択される1種または複数の化合物のアンモニウム塩である、請求項12に記載の配合物。
  14. 1種超のアンモニウム塩の1種または少なくとも1種が、
    − トリス(2−ヒドロキシエチル)アンモニウム、
    − ビス(2−ヒドロキシエチル)アンモニウム、
    − トリス(2−ヒドロキシエチル)メチルアンモニウム、
    − ビス(2−ヒドロキシエチル)ジメチルアンモニウム、
    − 2−ヒドロキシエチル−トリメチルアンモニウム、
    − 2−ヒドロキシエチル−トリエチルアンモニウム、
    − 2−ヒドロキシプロピル−トリメチルアンモニウム、
    − ビス(2−ヒドロキシプロピル)ジメチルアンモニウム、
    および
    − トリス(2−ヒドロキシプロピル)メチルアンモニウム
    からなる群から選択される少なくとも1つのアンモニウムカチオンを含む、請求項12または13に記載の配合物。
  15. 1種超のアンモニウム塩の1種または少なくとも1種が、
    − トリス(2−ヒドロキシエチル)アンモニウム、
    − ビス(2−ヒドロキシエチル)アンモニウム、
    − トリス(2−ヒドロキシエチル)メチルアンモニウム、
    − ビス(2−ヒドロキシエチル)ジメチルアンモニウム、
    − 2−ヒドロキシエチル−トリメチルアンモニウム、
    − 2−ヒドロキシエチル−トリエチルアンモニウム、
    − 2−ヒドロキシプロピル−トリメチルアンモニウム、
    − ビス(2−ヒドロキシプロピル)ジメチルアンモニウム、
    および
    − トリス(2−ヒドロキシプロピル)メチルアンモニウム
    からなる群から選択される少なくとも1つのアンモニウムカチオンを含み、
    1種または複数の化合物の1種または複数のアンモニウム塩が、
    (スルホメチル)−ブタン二酸ジエステル、
    メチル−スルホブタン二酸ジエステル、
    スルホグルタル酸ジエステル、
    および
    スルホトリカルバリン酸トリエステル
    からなる群から選択される1種または複数の化合物のアンモニウム塩である、請求項12から14のいずれか一項に記載の配合物。
  16. 基板、およびそれに支持されている、ライン幅が50nm以下のライン−スペース構造を有するパターン化材料層を含む製品を洗浄する工程、またはすすぐ工程に使用するための、請求項12から15のいずれか一項に記載の配合物。
  17. − 1から8個の炭素原子の合計数を有するアルコール、
    − アルキルエチレングリコール、
    − アルキルジエチレングリコール、
    − アルキルトリエチレングリコール、
    − アルキルプロピレングリコール、
    − アルキルジプロピレングリコール
    および
    − アルキルトリプロピレングリコール
    からなる群から選択される、有機溶媒化合物を含み、
    上記各アルキルが、1から7個の範囲の炭素原子の合計数を有し、
    かつ/または
    配合物の合計質量に対して、5ppm未満、好ましくは1ppm未満、より好ましくは0.05ppm未満、よりいっそう好ましくは5ppb未満、最も好ましくは3ppb未満の金属カチオンを含む、請求項12から16のいずれか一項に記載の配合物。
  18. 請求項12から17のいずれか一項に記載の配合物を作る方法であって、少なくとも以下の工程:
    a)スルホブタン二酸ジエステルアニオン、
    (スルホメチル)−ブタン二酸ジエステルアニオン、
    メチル−スルホブタン二酸ジエステルアニオン、
    スルホグルタル酸ジエステルアニオン、
    および
    スルホトリカルバリン酸トリエステルアニオン
    からなる群から選択される1種または複数の対応するジエステルまたはトリエステルアニオンが得られるように、亜硫酸水素塩アニオンの、1種または複数のα,β−不飽和エステルの二重結合への付加を含む手順により、請求項1から6のいずれか一項に記載の方法で定義されている1種または複数のアンモニウム塩を合成する工程、
    b)配合物を得るために、工程a)で合成された1種または複数の前記アンモニウム塩を、水および/または請求項17で定義されている1種または複数の有機溶媒と混合する工程、
    c)任意に、工程b)で得られた配合物を、孔径が直径30nm未満のフィルターを通して濾過する工程を含む使用方法。
  19. 工程a)において、
    − 亜硫酸水素塩アニオンの対カチオンが、亜硫酸水素塩アニオンの、1つもしくは複数のα,β−不飽和エステルの前記二重結合への前記付加後、請求項1から6のいずれか一項で定義されている1種もしくは複数のアンモニウム塩が構成されるように、請求項2で定義されているアンモニウムカチオンであり、
    または
    − 亜硫酸水素塩アニオンの対カチオンが、請求項2で定義されているアンモニウムカチオンではなく、対カチオンが、請求項2から6のいずれか一項で定義されている1種もしくは複数のアンモニウム塩が構成されるように、後続の工程において請求項2で定義されているアンモニウムカチオンに交換され、
    または
    − 亜硫酸水素塩アニオンの対カチオンが、アンモニウムカチオンではなく、対カチオンが、請求項1から6のいずれか一項で定義されている1種もしくは複数のアンモニウム塩が構成されるように、後続の工程においてアンモニウムカチオンに交換される、請求項18に記載の使用方法。
  20. 請求項2で定義されている1種または複数のアンモニウム塩が構成されるように、後続の工程において、対カチオンが、請求項2で定義されているアンモニウムカチオンに交換される、請求項19に記載の使用方法。
  21. 得られる1種または複数の対応するジエステルまたはトリエステルアニオンが、
    (スルホメチル)−ブタン二酸ジエステルアニオン、
    メチル−スルホブタン二酸ジエステルアニオン、
    スルホグルタル酸ジエステルアニオン、
    および
    スルホトリカルバリン酸トリエステルアニオン
    からなる群から選択される、請求項18から20のいずれか一項に記載の使用方法。
JP2018502070A 2015-07-16 2016-07-01 スルホエステルのアンモニウム塩を含有する、欠陥を低減させるすすぎ液 Active JP6873100B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
EP15176949 2015-07-16
EP15176949.4 2015-07-16
PCT/EP2016/065474 WO2017009068A1 (en) 2015-07-16 2016-07-01 Defect reduction rinse solution containing ammonium salts of sulfoesters

Publications (3)

Publication Number Publication Date
JP2018529989A true JP2018529989A (ja) 2018-10-11
JP2018529989A5 JP2018529989A5 (ja) 2019-07-25
JP6873100B2 JP6873100B2 (ja) 2021-05-19

Family

ID=53886832

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018502070A Active JP6873100B2 (ja) 2015-07-16 2016-07-01 スルホエステルのアンモニウム塩を含有する、欠陥を低減させるすすぎ液

Country Status (7)

Country Link
US (1) US10538724B2 (ja)
EP (1) EP3322787B1 (ja)
JP (1) JP6873100B2 (ja)
KR (1) KR102628568B1 (ja)
CN (1) CN107849493B (ja)
TW (1) TWI711898B (ja)
WO (1) WO2017009068A1 (ja)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021504539A (ja) * 2017-11-28 2021-02-15 ビーエイエスエフ・ソシエタス・エウロパエアBasf Se 製品を洗浄するまたはすすぐための、第一の界面活性剤および第二の界面活性剤を含む組成物
WO2021187489A1 (ja) 2020-03-17 2021-09-23 花王株式会社 硬質物品の洗浄方法
WO2021187488A1 (ja) 2020-03-17 2021-09-23 花王株式会社 界面活性剤組成物
WO2022138602A1 (ja) * 2020-12-21 2022-06-30 花王株式会社 スルホン酸塩組成物
WO2022138603A1 (ja) * 2020-12-21 2022-06-30 花王株式会社 スルホン酸塩組成物の製造方法
WO2022138190A1 (ja) * 2020-12-25 2022-06-30 花王株式会社 起泡性組成物の泡付着性向上剤

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DK131307A (ja) 1967-05-08
US4072632A (en) * 1972-04-06 1978-02-07 Lever Brothers Company Dishwashing compositions
DE2546847C3 (de) * 1975-10-18 1979-02-15 Chemische Fabrik Pfersee Gmbh, 8900 Augsburg Verfahren zur Herstellung von Alkyl- oder Dialkylsulfosuccinaten
JPS6053675B2 (ja) 1978-09-20 1985-11-27 富士写真フイルム株式会社 スピンコ−テイング方法
JPS55115045A (en) 1979-02-27 1980-09-04 Fuji Photo Film Co Ltd Printing plate preparation
GB8515721D0 (en) * 1985-06-21 1985-07-24 Unilever Plc Detergent compositions
US5891839A (en) * 1996-08-14 1999-04-06 Colgate Palmolive Company Light duty liquid microemulsion cleaning compositions containing an alkyl sulfoester
US7563753B2 (en) * 2001-12-12 2009-07-21 Hynix Semiconductor Inc. Cleaning solution for removing photoresist
US6890452B2 (en) 2002-11-08 2005-05-10 3M Innovative Properties Company Fluorinated surfactants for aqueous acid etch solutions
JP4040544B2 (ja) * 2003-06-27 2008-01-30 東京応化工業株式会社 レジスト用現像液組成物およびレジストパターンの形成方法
KR100574349B1 (ko) * 2004-02-03 2006-04-27 삼성전자주식회사 세정액 조성물 및 이를 이용한 반도체 장치의 세정방법
WO2005076332A1 (ja) * 2004-02-09 2005-08-18 Mitsubishi Chemical Corporation 半導体デバイス用基板洗浄液及び洗浄方法
KR20080069252A (ko) * 2006-01-11 2008-07-25 토쿄오오카코교 가부시기가이샤 리소그래피용 세정제 및 그것을 이용한 레지스트 패턴형성방법
DE102006032391A1 (de) 2006-07-04 2008-01-17 Merck Patent Gmbh Fluortenside
JP2009167327A (ja) 2008-01-17 2009-07-30 Mitsubishi Rayon Co Ltd グラフト共重合体、それを含有する樹脂組成物及び成形体
CN102057013B (zh) 2008-04-10 2014-04-09 巴斯夫欧洲公司 用于三级原油回收的基于支化醇的表面活性剂
EP2354122A1 (de) * 2010-01-23 2011-08-10 Cognis IP Management GmbH Sulfosuccinate
JP6014985B2 (ja) 2010-10-01 2016-10-26 三菱化学株式会社 半導体デバイス用基板洗浄液及び洗浄方法
US9236256B2 (en) 2011-01-25 2016-01-12 Basf Se Use of surfactants having at least three short-chain perfluorinated groups RF for manufacturing integrated circuits having patterns with line-space dimensions below 50 NM
WO2012134226A2 (ko) 2011-04-01 2012-10-04 주식회사 동진쎄미켐 포토리소그래피용 세정액 조성물
JP5732364B2 (ja) * 2011-09-30 2015-06-10 富士フイルム株式会社 パターン形成方法、及び、電子デバイスの製造方法
MY181266A (en) * 2012-12-14 2020-12-21 Basf Se Use of compositions comprising a surfactant and a hydrophobizer for avoiding anti pattern collapse when treating patterned materials with line-space dimensions of 50 nm or below
CA2933114C (en) 2013-12-13 2022-05-10 Basf Se Process for mineral oil production

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2021504539A (ja) * 2017-11-28 2021-02-15 ビーエイエスエフ・ソシエタス・エウロパエアBasf Se 製品を洗浄するまたはすすぐための、第一の界面活性剤および第二の界面活性剤を含む組成物
JP7349985B2 (ja) 2017-11-28 2023-09-25 ビーエーエスエフ ソシエタス・ヨーロピア 製品を洗浄するまたはすすぐための、第一の界面活性剤および第二の界面活性剤を含む組成物
WO2021187489A1 (ja) 2020-03-17 2021-09-23 花王株式会社 硬質物品の洗浄方法
WO2021187488A1 (ja) 2020-03-17 2021-09-23 花王株式会社 界面活性剤組成物
WO2022138602A1 (ja) * 2020-12-21 2022-06-30 花王株式会社 スルホン酸塩組成物
WO2022138603A1 (ja) * 2020-12-21 2022-06-30 花王株式会社 スルホン酸塩組成物の製造方法
JP7122492B1 (ja) * 2020-12-21 2022-08-19 花王株式会社 スルホン酸塩組成物の製造方法
WO2022138190A1 (ja) * 2020-12-25 2022-06-30 花王株式会社 起泡性組成物の泡付着性向上剤

Also Published As

Publication number Publication date
WO2017009068A1 (en) 2017-01-19
CN107849493A (zh) 2018-03-27
JP6873100B2 (ja) 2021-05-19
TW201706732A (zh) 2017-02-16
KR20180033229A (ko) 2018-04-02
US10538724B2 (en) 2020-01-21
CN107849493B (zh) 2020-10-09
EP3322787A1 (en) 2018-05-23
TWI711898B (zh) 2020-12-01
US20180201885A1 (en) 2018-07-19
KR102628568B1 (ko) 2024-01-23
EP3322787B1 (en) 2019-10-09

Similar Documents

Publication Publication Date Title
US10538724B2 (en) Defect reduction rinse solution containing ammonium salts of sulfoesters
EP2932525B1 (en) Use of compositions comprising a surfactant and a hydrophobizer for avoiding anti pattern collapse when treating patterned materials with line-space dimensions of 50 nm or below
TWI772552B (zh) 含矽氧烷型添加劑之組成物用於在處理具有50nm或低於50nm之線性空間尺寸之圖案材料時避免圖案塌陷之用途
JP6175547B2 (ja) フォトリソグラフィー用洗浄液組成物及びこれを用いたフォトレジストパターンの形成方法
US20210198602A1 (en) Use of compositions comprising a solvent mixture for avoiding pattern collapse when treating patterned materials with line-space dimensions of 50 nm or below
KR20050078903A (ko) 세정액 조성물 및 이를 이용한 반도체 장치의 세정방법
CN112313582A (zh) 极紫外光刻用工艺液体组合物及使用其的图案形成方法
US20220187712A1 (en) Composition for avoiding pattern collapse when treating patterned materials with line-space dimensions of 50 nm or below comprising a boron-type additive
US11487208B2 (en) Process liquid for extreme ultraviolet lithography and pattern forming method using same
US20220169956A1 (en) Composition comprising an ammonia-activated siloxane for avoiding pattern collapse when treating patterned materials with line-space dimensions of 50 nm or below
US20050233921A1 (en) Cleaning solution for photoresist, method for forming a photoresist pattern using the same, and semiconductor device
KR100576477B1 (ko) 포토레지스트 세정액 조성물 및 이를 이용한 패턴 형성방법
CN116120998B (zh) 一种冲洗组合物及其光刻胶图案形成方法
TWI834671B (zh) 包含溶劑混合物之組成物當處理具有50nm或小於50nm之線-空間尺寸的圖案化材料時避免圖案塌陷之用途
KR20210069352A (ko) 세정액 조성물 및 이를 이용한 포토레지스트 재료의 표면처리 방법

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190621

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190621

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20200520

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200616

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200916

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20201006

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20201225

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210323

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210420

R150 Certificate of patent or registration of utility model

Ref document number: 6873100

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250