KR20050078903A - 세정액 조성물 및 이를 이용한 반도체 장치의 세정방법 - Google Patents

세정액 조성물 및 이를 이용한 반도체 장치의 세정방법 Download PDF

Info

Publication number
KR20050078903A
KR20050078903A KR1020040006986A KR20040006986A KR20050078903A KR 20050078903 A KR20050078903 A KR 20050078903A KR 1020040006986 A KR1020040006986 A KR 1020040006986A KR 20040006986 A KR20040006986 A KR 20040006986A KR 20050078903 A KR20050078903 A KR 20050078903A
Authority
KR
South Korea
Prior art keywords
formula
cleaning liquid
alkyl epoxide
cleaning
c3h6o
Prior art date
Application number
KR1020040006986A
Other languages
English (en)
Other versions
KR100574349B1 (ko
Inventor
정명호
김현우
우상균
정진배
정현진
문재웅
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020040006986A priority Critical patent/KR100574349B1/ko
Priority to JP2005018877A priority patent/JP2005220350A/ja
Priority to US11/048,069 priority patent/US20050233922A1/en
Publication of KR20050078903A publication Critical patent/KR20050078903A/ko
Application granted granted Critical
Publication of KR100574349B1 publication Critical patent/KR100574349B1/ko

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/02Anionic compounds
    • C11D1/12Sulfonic acids or sulfuric acid esters; Salts thereof
    • C11D1/28Sulfonation products derived from fatty acids or their derivatives, e.g. esters, amides
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/66Non-ionic compounds
    • C11D1/72Ethers of polyoxyalkylene glycols
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2003Alcohols; Phenols
    • C11D3/2006Monohydric alcohols
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2003Alcohols; Phenols
    • C11D3/2006Monohydric alcohols
    • C11D3/201Monohydric alcohols linear
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2003Alcohols; Phenols
    • C11D3/2006Monohydric alcohols
    • C11D3/2017Monohydric alcohols branched
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/322Aqueous alkaline compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D2111/00Cleaning compositions characterised by the objects to be cleaned; Cleaning compositions characterised by non-standard cleaning or washing processes
    • C11D2111/10Objects to be cleaned
    • C11D2111/14Hard surfaces
    • C11D2111/22Electronic devices, e.g. PCBs or semiconductors
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D3/00Other compounding ingredients of detergent compositions covered in group C11D1/00
    • C11D3/16Organic compounds
    • C11D3/20Organic compounds containing oxygen
    • C11D3/2003Alcohols; Phenols
    • C11D3/2006Monohydric alcohols
    • C11D3/2017Monohydric alcohols branched
    • C11D3/202Monohydric alcohols branched fatty or with at least 8 carbon atoms in the alkyl chain
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Wood Science & Technology (AREA)
  • Organic Chemistry (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Emergency Medicine (AREA)
  • Health & Medical Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Detergent Compositions (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

미세한 포토레지스트 패턴의 형성할 때 발생하는 포토레지스트 패턴의 무너짐을 방지할 수 있는 포토레지스트 패턴의 세정액과 이를 이용한 반도체 장치의 세정방법이 개시되어 있다. 용매와 및 계면활성제를 포함하고, 최대 기포 압력 방법에 의해 측정된 동적표면장력이 6 bubbles/second에서 50 dyne/cm 이하인 세정액 조성물이 제공된다. 동적표면장력 특성이 우수한 세정액을 사용함으로서, 약 100nm이하의 미세 포토레지스트 패턴을 형성할 때 문제되는 포토레지스트 패턴의 무너짐을 방지할 수 있고, 계면활성제가 고농도로 포함된 세정액을 제조할 수 있어 물류비 감소에도 기여할 수 있다.

Description

세정액 조성물 및 이를 이용한 반도체 장치의 세정방법{CLEANING SOLUTION COMPOSITION AND CLEANING METHOD OF SEMICONDUCTOR DEVICE USING THE SAME}
본 발명은 포토레지스트 패턴 세정액 및 이를 이용한 반도체 장치의 세정방법에 대한 것이다. 보다 상세하게는 포토레지스트 패턴의 무너짐을 방지할 수 있는 포토레지스트 패턴 세정액 및 이를 이용한 포토레지스트 패턴이 형성된 반도체 장치의 세정방법에 관한 것이다.
최근, 컴퓨터와 같은 정보 매체의 급속한 보급에 따라 반도체 장치도 비약적으로 발전하고 있다. 그 기능 면에 있어서, 상기 반도체 장치는 고속으로 동작하는 동시에 대용량의 저장 능력을 가질 것이 요구된다. 이러한 요구에 부응하여, 상기 반도체 장치는 집적도, 신뢰도 및 응답 속도 등을 향상시키는 방향으로 제조 기술이 발전되고 있다. 때문에, 상기 반도체 장치의 집적도 향상을 위한 주요한 기술로서 사진식각(photolithography) 기술과 같은 미세 가공 기술에 대한 요구도 엄격해지고 있다.
사진식각 공정은 반도체 소자 등의 전자부품을 제조할 때 기판 상에 미세한 전자회로 패턴을 생성하는데 사용되는 방법이다. 즉, 이는 감광성 물질이 도포되어 있는 기판에 회로가 인쇄되어 있는 마스크를 통해 빛을 조사함으로서 마스크의 회로 패턴을 기판으로 전사하는 공정이다.
사진식각 공정에서 사용하는 빛으로는 g-line, i-line, KrF, ArF, e-beam, X-ray등이 있으며 이 중 g-line의 파장이 가장 길고, X-ray의 파장이 가장 짧다. 과거 반도체 소자는 집적도가 낮았기 때문에 기판 상에 회로를 형성하기 위해 사용되는 포토레지스트 패턴의 크기가 컸다. 그러나 반도체 관련 산업의 발달과 함께 점차적으로 소자의 고집적화가 요구되고 있으며 이에 부응하여 보다 미세한 포토레지스트 패턴이 필요하게 되었다. 이와 같이 보다 미세한 포토레지스트 패턴을 얻기 위해서는 보다 짧은 파장의 빛을 사용하여야 하며 포토레지스트 또한 점차 짧아지는 파장의 빛에 감응하여 미세한 패턴을 형성할 수 있는 새로운 것이 개발되어야 한다. 이상에서 언급한 포토레지스트 패턴 형성의 기본 공정은 아래와 같다.
산화막 또는 금속막 등이 입혀진 웨이퍼와 같은 기판 상에 감광성을 갖는 포토레지스트를 회전도포하는 방법에 의해 균일하게 도포한다. 이어서, 도포된 포토레지스트막을 소프트 베이킹하여 포토레지스트내의 용매를 제거하여 균일하고 건조한 포토레지스트막을 얻는다. 그 다음에 특정파장의 빛을 회로가 새겨진 마스크를 통과시켜 기판상의 포토레지스트막에 조사한다. 이에 따라, 마스크의 회로패턴 형태에 따라 포토레지스트막은 빛을 받은 부분과 받지 못한 부분으로 나누어진다. 빛을 받은 부분은 포토레지스트의 감광작용에 의하여 화학적 변형을 일으키게 되고 빛을 받지 못한 부분과 물리, 화학적으로 다른 성질을 지니게 된다.
이와 같이 처리된 포토레지스트는 다음의 현상공정에서 포토레지스트의 종류에 따라 빛을 받은 부분 또는 빛을 받지 않은 부분이 선택적으로 현상액과 상호작용을 하여 기판으로부터 제거됨으로서 목적하는 회로 패턴과 같은 포토레지스트 패턴이 얻어진다. 현상은 기판을 현상액에 담그거나 기판 위에 현상액을 분사하는 방법 또는 현상액을 기판표면에 머무르게 하는 퍼들 방법 등으로 이루어진다. 이후 기판표면의 현상액을 순수(또는 초순수)와 같은 세정액으로 세척하여 현상을 중지하고 회전건조(spin dry)등에 의해 기판을 건조시켜 포토레지스트 패턴을 완성한다.
그러나 상술한 바와 같이 반도체 소자의 고집적화에 부응하여 포토레지스트 패턴 역시 미세화되기 때문에 현상액을 순수 등으로 치환한 후 건조시키는 과정에서 포토레지스트 패턴이 무너지는 문제점이 발생한다.
이러한 포토레지스트 패턴의 무너짐 현상(photoresist pattern collapse)에 대한 매커니즘은 다나카(Tanaka)등이 제시한 문헌 "현상공정중 발생하는 포토레지스트 패턴의 무너짐에 관한 메카니즘(Mechanism of Resist Pattern Collapse During Development Process)"(Japan J. Appl. Phys. vol.32(1993))에 설명되어 있다. 즉, 이는 현상액을 초순순 등으로 치환한 후 건조시키는 과정에서 발생하는 것으로서 그 원인은 현상된 포토레지스트의 패턴과 패턴사이에 채워져 있는 순수와 같은 세정액에 의한 모세관 힘(capillary force)이 작용하여 발생하는 것으로 알려져 있다. 여기서 패턴의 무너짐을 일으키는 모세관 힘은 아래의 식으로 표현된다.
식 1
상기 식 1에서 γ는 세정액의 표면장력, θ는 세정액과 패턴의 접촉각, S는 패턴사이의 간격을 나타낸다.
상기 식 1에 의하면, 모세관 힘은 세정액의 표면장력과 세정액과 패턴사이 접촉각의 cos값에 비례하며 패턴과 패턴사이의 간격에 반비례하는 것을 알 수 있다. 또한 상기 문헌에 의하면, 일정한 모세관 힘이 작용할 때 패턴의 폭과 높이의 비율인 종횡비(aspect ratio)가 점차 커지게 되면 모세관 힘에 의해 패턴이 변형을 일으키기 쉬워지게 됨을 알 수 있다.
앞에서 제시한 G-line, I-line, KrF공정에서는 집적도가 낮아 표면장력이 매우 큰 순수를 세정액으로 사용하여도 패턴사이의 간격이 상대적으로 크기 때문에 모세관 힘이 크게 작용하지 않는 경우가 많았으며 또한 모세관 힘이 크더라도 패턴의 폭이 충분히 커서 패턴의 무너짐은 발생하지 않았다.
그러나 반도체 소자와 같은 전자회로는 성능의 향상을 위하여 보다 집적된 회로를 필요로 하며 이는 보다 미세한 크기의 포토레지스트 패턴과 보다 미세한 크기의 포토레지스트 패턴과 패턴사이의 간격 형성을 요구하게 되었다. 이러한 추세에 따라 현재에는 100nm이하의 패턴폭과 간격을 갖는 회로의 구성이 필요하게 되었으며, 이를 위하여 일부 KrF공정을 보다 미세한 패턴형성에 이용하거나 ArF, e-beam, X-ray공정을 사용하게 되었다. 결국 포토레지스트 패턴폭과 패턴간의 간격이 작아지게 됨에 따라 모세관 힘의 영향이 커지게 되어 기존의 순수 세정방식으로는 포토레지스트 패턴의 무너짐이 더욱 심하게 발생하게 된다. 이와 같이 전자회로의 집적화가 높아짐에 따라 기존의 순수에 의한 세정방식으로는 포토레지스트 패턴의 무너짐이 심하게 발생하게 되며 따라서 관련 분야의 기술발전을 위해 반드시 해결되어야 할 문제로 대두되고 있다.
관련 업계에서는 이러한 패턴의 무너짐을 해결하기 위하여 다양한 연구가 이루어지고 있다. 예를 들어, 모세관 힘의 원인이 되는 세정액의 표면장력을 줄이기 위하여 알코올류와 같은 표면장력이 낮은 용제를 세정액으로 이용하거나 또는 이를 순수에 첨가하여 세정액으로 이용하는 방법(Tanaka et. al., Japan J. Appl. Phys. vol.32(1993), p6059; John Simons et. al., SPIE proc., vol4345(2001), p19), 초임계유체를 이용한 세정법(John Simons et. al., SPIE proc., vol4345(2001), p19; 대한민국공개특허 제10-2002-0083462호), 또는 순수를 가열하여 표면장력을 낮추어 세정액으로 사용하는 방법(미국등록특허 제5,474,877호)등의 방법이 알려져 있다.
그러나 표면장력이 낮은 용제를 세정액으로 이용하는 방법의 경우 용제가 포토레지스트 패턴을 녹이기 때문에 패턴의 변형이 발생하는 문제점이 있다. 그리고고 표면장력이 낮은 용제를 첨가한 순수액을 세정액으로 이용하는 경우에는 순수의 표면장력을 용제만으로 낮추기 위하여 용제의 함량이 매우 높아야 하고 따라서 용제에 의한 부작용이 발생하는 문제점이 있다. 또한, 초임계유체를 이용하는 경우 추가적인 장비의 개발과 높은 비용, 낮은 생산성 등으로 인하여 실용화되기에는 어려운 점이 있다.
한편, 상술한 포토레지스트 패턴의 무너짐을 방지하기 위하여 계면활성제를 이용하는 방법도 시도되고 있다. 예를 들면, 평형표면장력(equilibrium surface tension) 또는 정적표면장력 (static surface tension)이 매우 낮은 여러 종류의 불소계 계면활성제를 이용하여 포토레지스트 패턴의 무너짐을 방지하기 위한 방법이 알려져 있다(Stefan Hien et. al., SPIE proc., vol4690(2002), p254).
그러나 상기 스테판 히엔(Stefan Hien) 등의 문헌에서는, 문헌에서 제시된 계면활성제 일부에서 평형표면장력 및 상기 식 1의 분자항인 γCOSθ값이 상대적으로 높으면서도 오히려 패턴의 무너짐이 적게 발생하는 현상을 설명하지 못하고 있다. 즉, 모세관 힘에 영향을 미치는 인자로 평형표면장력 또는 정적표면장력(이하, '평형표면장력'이라 한다.) 이외의 요소가 있음을 의미한다. 즉, 상기 문헌의 기술은 모세관 힘이 결정되는 구체적인 매커니즘이 규명하지 못하고 있기 때문에 그 적용이 제한될 수밖에 없고, 구체적인 공정에 적용시 그 효과를 보장할 수 없는 문제점이 있다.
또한 대한민국공개특허 제10-2002-0068679에도 불소계 계면활성제 수용액의 낮은 평형표면장력을 이용한 세정액이 제시되어 있다. 이는 평형표면장력이 낮은 일부 불소계 계면활성제를 세정액에 이용할 수 있음을 제시한 것이지만 상술한 바와 같이 평형표면장력의 높고 낮음이 패턴의 무너짐에 직접적인 영향이 아니기 때문에 실제의 활용에 있어서 제한적일 수밖에 없다.
따라서 포토레지스트 패턴의 무너짐에 관련되는 모세관 힘에 영향을 미치는 요인을 규명하고, 이에 따라 포토레지스트 패턴의 무너짐을 방지할 수 있는 세정액을 개발하는 것이 필요하다.
따라서, 본 발명의 제1 목적은 반도체 소자와 같은 전자회로 기판상에 미세한 포토레지스트 패턴의 형성할 때 발생하는 포토레지스트 패턴의 무너짐을 방지할 수 있는 포토레지스트 패턴의 세정액을 제공하는 것이다.
본 발명의 제2 목적은 상기 세정액을 이용한 포토레지스트 패턴을 포함하는 반도체 장치의 세정방법을 제공하는 것이다.
상술한 본 발명의 제1 목적을 달성하기 위한 본 발명의 바람직한 일 실시예는 용매와 및 계면활성제를 포함하고, 최대 기포 압력 방법(maximum bubble pressure method)에 의해 측정된 동적표면장력이 6 bubbles/second에서 50 dyne/cm 이하인 세정액 조성물을 제공한다. 여기서, 용매 99.0 내지 99.99 중량%; 와, 계면활성제 0.01 내지 1.0 중량%를 포함하는 것이 바람직하다. 상기 용매로는 순수(또는 초순수)를 사용할 수 있고, 상기 계면활성제로는 하기 화학식 1 내지 6으로 표현되는 화합물들 중에서 선택하며, 2종 이상 선택하여 사용하는 것이 바람직하다.
상기 화학식 1에서 R1, R2는 각각 탄소수 3∼6의 직쇄 또는 분지구조의 포화탄화수소이고, R3, R4는 각각 알킬에폭사이드 중합단량체이며, a, b는 각각 0∼10인 정수이며, 바람직하게는 R1, R2는 각각 4∼5이고, R3, R4의 알킬에폭사이드 중합단량체는 에틸렌옥사이드(-C2H4O-), 프로필렌옥사이드(-C3H6O-), 또는 이들의 공중합체이며, a, b는 각각 0∼5이다.
상기 화학식 2에서 R5, R6, R7은 각각 수소원자(H) 또는 탄소수 1∼11의 직쇄 또는 분지구조의 포화탄화수소이고, R8, R9는 각각 알킬에폭사이드 중합단량체이며, c, d는 0∼10인 정수이며, 바람직하게는 R8, R9의 알킬에폭사이드 중합단량체는 에틸렌옥사이드(-C2H4O-), 프로필렌옥사이드(-C3H6O-), 또는 이들의 공중합체이고, c, d는 각각 1∼5이다.
상기 화학식 3에서 R10, R11은 각각 수소원자(H) 또는 탄소수 1∼12의 직쇄 또는 분지구조의 포화탄화수소이고, R12는 알킬에폭사이드 중합단량체이며, e는 1∼15의 정수이고, 바람직하게는 R12의 알킬에폭사이드 중합단량체는 에틸렌옥사이드(-C2H4O-), 프로필렌옥사이드(-C3H6O-), 또는 이들의 공중합체이고, e는 5∼13이다.
상기 화학식 4에서 R13, R14, R15는 각각 수소원자 또는 벤젠고리를 갖는 스티렌(styrene)화합물이고, R16은 알킬에폭사이드 중합단량체이며, f는 1∼15인 정수이며, 바람직하게는 R16의 알킬에폭사이드 중합단량체는 에틸렌옥사이드(-C2H4O-), 프로필렌옥사이드(-C3H6O-), 또는 이들의 공중합체이고, f는 3∼10이다.
상기 화학식 5에서 R17은 탄소수 6∼10의 직쇄 또는 분지구조의 포화탄화수소이고, R16은 알킬에폭사이드 중합단량체이며, g는 1∼15인 정수이며, 바람직하게는 R16의 알킬에폭사이드 중합단량체는 에틸렌옥사이드(-C2H4O-),프로필렌옥사이드(-C3H6O-), 또는 이들의 공중합체이고, g는 3∼10이다.
상기 화학식 6에서 R19, R20은 각각 탄소수 5∼12의 직쇄 또는 분지구조의 포화탄화수소이고, M은 암모니아 또는 알카놀아민이며, 바람직하게는 R19, R20은 7∼9이고, M은 암모니아, 모노에탄올아민, 디에탄올아민, 또는 트리에탄올아민이다.
또한, 상기 용매와 계면활성제를 포함하는 세정액 조성물 70 내지 100 중량%에 대하여 유기용제 0 내지 30 중량%를 더 포함할 수 있는데, 상기 유기용제는 메틸알코올, 에틸알코올, 이소프로필알코올, 부틸알코올, 또는 이들 중 선택되는 2종 이상의 혼합물이다.
또한, 상술한 본 발명의 제1 목적을 달성하기 위한 본 발명의 바람직한 다른 실시예는 용매와 및 상기 화학식 1내지 6으로 표현되는 화합물 중에 적어도 1 종 이상으로 구성되는 계면활성제를 포함하는 세정액 조성물을 제공한다.
상술한 본 발명의 제2 목적을 달성하기 위한 본 발명의 바람직한 일실시예에 의한 세정방법에 의하면, 우선 부분적으로 노광된 기판상의 포토레지스트막을 현상액으로 현상하여 포토레지스트 패턴을 형성한다. 이어서 포토레지스트 패턴이 형성된 기판을 세정하여 현상액을 용매 및 계면활성제를 포함하고, 최대 기포 압력 방법에 의해 측정된 동적표면장력이 6 bubbles/second에서 50 dyne/cm이하인 상기 세정액 조성물로 치환하고, 포토레지스트 패턴이 형성된 기판에서 세정액 조성물을 제거하여 포토레지스트 패턴이 형성된 반도체 장치를 세정한다.
여기서 포토레지스트 패턴의 형성은 구체적으로, 먼저 기판상에 포토레지스트막을 형성하고, 포토레지스트막을 G-line, I-line, KrF, ArF, e-beam, 또는 X-ray를 사용하여 부분적으로 노광시킨다. 이어서, 부분적으로 노광된 포토레지스트막을 현상액으로 현상하여 포토레지스트 패턴을 형성한다.
그리고 세정은 구체적으로 포토레지스트 패턴이 형성된 기판을 순수를 사용하여 1차 세정하여 현상액을 순수로 치환하고, 1차 세정된 기판을 세정액을 사용하여 2차 세정하여 순수를 세정액으로 치환하여 수행된다. 또한, 세정액의 제거는 스핀 드라이방법에 의하여 수행된다.
상술한 본 발명의 제2 목적을 달성하기 위한 본 발명의 바람직한 다른 실시예에 의한 세정방법에 의하면, 우선 부분적으로 노광된 기판상의 포토레지스트막을 현상액으로 현상하여 포토레지스트 패턴을 형성한다. 이어서 포토레지스트 패턴이 형성된 기판을 세정하여 현상액을 용매 및 상기 화학식 1 내지 6으로 표현되는 화합물들 중에서 1 종 이상 선택되는 계면활성제를 포함하는 세정액 조성물로 치환하고, 포토레지스트 패턴이 형성된 기판에서 세정액 조성물을 제거하여 포토레지스트 패턴이 형성된 반도체 장치를 세정한다.
본 발명에 따른 동적표면장력 특성이 우수한 세정액 조성물을 사용함으로서, 약 100nm이하의 미세 포토레지스트 패턴을 형성할 때 문제되는 포토레지스트 패턴의 무너짐을 방지할 수 있다. 이러한 미세한 포토레지스트 패턴을 이용하면, 고집적의 반도체 소자의 각종 패턴을 정확하고 용이하게 형성할 수 있다. 또한, 계면활성제를 2 종 이상 조합하여 사용하면, 고농도의 계면활성제를 포함하는 세정액을 제조할 수 있으므로, 물류비 절감효과도 기대할 수 있다.
따라서, 신뢰성 높은 반도체 소자를 경제적으로 생산할 수 있게 되므로 전체적인 반도체 제조 공정에 소요되는 시간 및 비용을 절감할 수 있게 된다. 또한, 이는 미세 선폭을 가지는 차세대 디바이스들의 제조공정에 적용할 수 있는 경쟁력 있는 기술이다.
이하, 본 발명의 바람직한 실시예들에 의한 세정액 조성물 및 이를 이용한 세정방법을 상세하게 설명한다.
본 발명은 포토레지스트 패턴의 세정 과정에서 발생되는 포토레지스트 패턴의 무너짐을 방지할 수 있는 계면 활성제 및 용매를 포함하는 세정액 조성물을 제공한다.
우선 본 실시예에 의한 세정액 조성물은 계면활성제와 용매를 포함하고, 최대 기포 압력 방법(maximum bubble pressure method)에 의해 측정된 동적표면장력이 6 bubbles/second에서 50 dyne/cm 이하이다.
전술한 바와 같이 포토레지스트 패턴의 무너짐은 포토레지스트 패턴 사이에 잔류하는 세정액 등에 의한 모세관 힘을 감소시킴으로써 방지할 수 있다. 상기 모세관 힘은 상기 식 1에서 확인할 수 있는 바와 같이 액체의 표면장력에 비례하므로, 스핀 드라이과정에서 세정액의 표면장력을 낮춤으로서 바람직한 결과를 얻을 수 있게 된다.
계면활성제를 포함하는 조성물의 경우, 통상적으로 표면장력이라함은 평형표면장력 또는 정적표면장력을 의미한다. 이는 소수성기와 친수성기를 갖는 계면활성제 분자가 액체의 표면으로 이동, 흡착 및 배열하는 과정을 거쳐 액체의 표면이 계면활성제 분자로 포화되었을 경우의 표면장력을 나타낸다. 즉, 이는 시간이 충분히 경과한 시점의 최종적인 표면장력 즉, 최소의 표면장력을 나타낸다.
그러나, 포토레지스트 패턴의 무너짐을 방지하기 위해서는 최종적인 표면장력 뿐만 아니라 최종적인 표면장력에 도달하는데 소요되는 시간이 짧을수록 유리하다. 즉 본 실시예의 표면장력은 상술 평형표면장력 또는 정적표면장력이 아닌 동적표면장력을 나타내는 것으로서 이는 평형표면장력 또는 정적표면장력에서 고려되지 않은 시간의 개념이 도입된 표면장력을 의미한다.
상기 동적표면장력이 우수하다라고 하는 것은 새로운 기체(예를 들면, 대기나 공정분위기)-액체(예를 들면, 세정액) 계면이 생성된 이후 짧은 시간내에 계면활성제의 분자가 기체-액체 계면으로 이동, 흡착 및 배열을 하여 계면장력을 낮추어 준다는 것을 의미한다. 일반적으로 새로운 기체-액체 계면이 생성된 후 액체내의 계면활성제 분자가 이동하여 기체-액체의 계면을 포화시키는 데에는 짧게는 수십초에서 길게는 수일 이상의 시간이 소요된다. 이 경우 계면활성제가 표면에서 평형을 이루기 전단계의 포토레지스트 패턴은 높은 기체-액체 표면장력, 즉 높은 모세관 힘에 노출되어 있게 되므로 평형표면장력이 매우 낮은 세정액을 사용하더라도 동적표면장력 특성이 좋지 못할 경우 기체-액체의 계면이 평형에 도달하기 이전에 이미 포토레지스트 패턴은 무너지게 된다.
따라서 동적표면장력이 낮은 세정액 조성물을 사용함으로써 포토레지스트 패턴의 무너짐을 방지할 수 있다. 구체적으로, 본 실시예에서는 최대 기포 압력 방법에 의하여 측정된 동적표면장력이 약 6 bubbles/second에서 50 dyne/cm이하인 세정액 조성물을 사용한다. 특히 6 bubbles/초에서 45 dyne/cm이하인 것이 더욱 바람직하다. 만약 동적표면장력이 약 6 bubbles/second에서 50 dyne/cm를 초과하면, 포토레지스트 패턴 사이에 작용하는 모세관 힘이 강하게 장시간 유지되어 포토레지스트 패턴이 무너질 우려가 있다.
순수와 계면활성제를 포함하는 세정액의 동적표면장력 특성에 영향을 주는 인자로는 세정액의 온도, 계면활성제의 농도, 계면활성제의 종류 및 유기용제 첨가 여부 등을 예시할 수 있다.
세정액의 온도를 살펴보면, 세정액의 온도가 높을수록 순수자체의 표면장력이 온도에 반비례하여 낮아지고 또한 계면활성제 분자의 이동성이 증가하므로 동적표면장력의 특성이 향상되고, 반대로 온도가 낮아지면 동적표면장력의 특성이 저하된다. 그러나 세정액의 사용온도는 현상 및 세정건조 공정의 공정조건에 의해 결정되므로 임의로 변경할 수 없으므로 세정액의 온도를 높여서 동적표면장력을 증가시키는 것은 한계가 있다. 즉, 다른 인자를 조절하여 넓은 온도 범위내에서 동적표면장력이 낮은 특성을 갖도록 하는 것이 바람직하다.
그리고 동적표면장력 특성을 우수하게 하기 위해서는 계면활성제의 농도가 높을수록 좋으나 지나치게 높을 경우 거품의 발생이 심하고 포토레지스트 패턴 표면에 세정액이 남아 후공정에 문제를 유발시키므로 세정액으로서 바람직하지 않다. 따라서, 계면활성제의 농도만을 증가시켜 동적표면장력 특성을 개선하는 것 또한 한계가 있다. 즉, 우수한 동적표면장력 특성을 가지는 계면활성제를 선정하여 이를 적절한 농도로 사용하는 것이 바람직하다.
본 실시예에서 사용될 수 있는 계면활성제로는 상기 화학식 1 내지 6으로 표시한 계면활성제를 예시할 수 있다.
상기 예시한 계면활성제를 한가지 이상을 조합하여 사용하는 것이 보다 바람직하다. 본 실시예에 따른 동적표면장력이 우수한 계면활성제중에는 친수기의 분자량이 작아 물에 매우 적은 양만 녹는 것들이 많다. 따라서 세정액의 동적표면장력 특성을 더욱 향상시키기 위하여 계면활성제의 농도를 증가시키는 것이 어렵다. 이 경우 친수기의 분자량이 높아 물에 잘 녹는 계면활성제를 가용화제의 역할로서 혼합하여 사용하면, 물에는 잘 녹지 않으나 동적표면장력 특성이 매우 좋은 계면활성제를 높은 농도로 물에 녹이는 것이 가능하다. 따라서 동적표면장력 특성이 더욱 좋은 세정액을 제조할 수 있게 된다.
또한, 상기 계면활성제를 2 종 이상 조합하여 사용하면, 고농도의 계면활성제를 포함하는 세정액을 제조한 후 실제로 사용되는 곳에서 순수에 희석하여 사용함으로서 제조지역과 사용지역간의 대폭적인 물류비 절감효과도 기대할 수 있게 하는 것이다. 다만, 가용화제로서 사용되는 계면활성제의 동적표면장력 특성이 좋지 못한 경우에는 혼합을 함으로서 가용화의 대상이 되는 계면활성제의 우수한 동적표면장력 특성을 살리지 못하고 오히려 세정액의 성능이 나빠지는 결과를 초래하는 문제점이 있을 수 있다. 따라서 가용화제로 사용되는 계면활성제는 동적표면장력의 특성을 충분히 고려하여 선정하여야 한다. 이와 같은 가용화제 역시 상기 화학식 1 내지 화학식 6으로 표시되는 계면활성제 화합물에서 선택할 수 있다.
상기 세정액 조성물은 계면활성제 약 0.01∼1.0 중량%와 순수 등의 용매 약 99 내지 99.99 중량% 함유하며, 바람직하게는 계면활성제 약 0.03∼0.2 중량%와 용매 약 99.8 내지 99.97중량%을 포함한다. 상기 세정액 조성물에서 계면활성제가 약0.01 중량% 미만이면, 동적표면장력 특성 개선효과가 부족하여 포토레지스트 패턴 무너짐을 방지할 수 없게 되고, 약 1.0 중량%를 초과하면 용해도가 저하되는 문제점이 있다.
선택적으로, 상기 용매와 계면활성제를 포함하는 세정액 조성물 약 70 내지 100 중량%에 대하여 유기용제 약 0 내지 30 중량%를 더 첨가할 수 있다.
본 실시예에서 물보다 낮은 표면장력을 가지며 물과 섞이는 유기용제를 본 발명에 의한 세정액에 첨가할 경우 혼합액의 동적표면장력 특성이 향상된다. 그러나 유기용제를 약 30 중량%를 초과하여 사용하면, 유기용제가 포토레지스트를 녹여서 포토레지스트 패턴의 손상을 유발하므로 바람직하지 않다. 상기 유기용제로는 메틸알코올, 에틸알코올, 이소프로필알코올, 부틸알코올, 또는 이들 중 선택되는 2종 이상의 혼합물을 사용하는 것이 바람직하다.
본 발명은 포토레지스트 패턴의 무너짐을 방지할 수 있는 세정액 조성물의 다른 실시예를 제공한다.
우선, 본 실시예에 의한 세정액 조성물은 상기 화학식 1 내지 6으로 표현되는 화합물 중에서 선택되는 1 종 이상의 계면활성제와 순수 등의 용매를 포함한다. 상기 계면활성제는 세정액 조성물의 동적표면장력 특성을 향상시킨다. 계면활성제 약 0.01 내지 1.0 중량%를 포함하는 세정액 조성물은 최대 기포 압력 방법에 의해 측정된 동적표면장력이 6 bubbles/second에서 약 50 dyne/cm 이하를 나타낸다. 이러한 동적표면장력 값을 가지는 세정액을 사용하면, 포토레지스트 패턴사이에 잔류하는 세정액에 의한 모세관 힘을 감소시킬 수 있다. 따라서 세정단계에서의 포토레지스트 패턴의 무너짐을 방지할 수 있다.
상기 예시한 계면활성제를 한가지 이상을 조합하여 사용하는 것이 보다 바람직하다. 2 종 이상의 계면활성제를 조합하여 사용할 때, 친수기의 분자량이 높아 물에 잘 녹는 계면활성제를 가용화제의 역할로서 혼합하여 사용하면, 물에는 잘 녹지 않으나 동적표면장력 특성이 매우 좋은 계면활성제를 높은 농도로 물에 녹이는 것이 가능하다. 따라서 동적표면장력 특성이 더욱 좋은 세정액을 제조할 수 있게 된다. 또한, 상기 계면활성제를 2 종 이상 조합하여 사용하면, 고농도의 계면활성제를 포함하는 세정액을 제조할 수 있으므로, 물류비 절감효과도 기대할 수 있다.
선택적으로, 상기 용매와 계면활성제를 포함하는 세정액 조성물 약 70 내지 100 중량%에 대하여 유기용제 약 0 내지 30 중량%를 더 첨가할 수 있다. 유기용제를 첨가함으로써 동적표면장력 특성을 한층 더 향상시킬 수 있다. 그러나 유기용제를 약 30 중량%를 초과하여 사용하면, 유기용제가 포토레지스트를 녹여서 포토레지스트 패턴의 손상을 유발하므로 바람직하지 않다. 상기 유기용제로는 메틸알코올, 에틸알코올, 이소프로필알코올, 부틸알코올, 또는 이들 중 선택되는 2종 이상의 혼합물을 사용하는 것이 바람직하다.
한편, 본 발명은 상술한 세정액 조성물들을 이용한 반도체 장치의 세정방법의 일실시예를 제공한다. 반도체 장치의 세정방법을 예로들어 설명하지만, 모세관힘에 의한 미세 구조물의 붕괴가 문제되는 장치라면 응용이 가능하다. 도 1은 본 발명의 실시예에 따른 반도체 장치의 세정방법을 설명하기 위한 순서도이다.
도 1을 참조하여 상기 반도체 장치의 세정방법의 일실시예를 살펴보면, 포토레지스트 패턴을 형성하고(단계 S110), 상기 기판을 세정하여 현상액을 세정액으로 치환하는 세정단계를 수행한다(단계 S120, S130). 이어서 상기 포토레지스트 패턴이 형성된 기판에서 세정액 조성물을 제거한다(단계 S140).
이를 각 단계별로 도면을 참조하여 보다 구체적으로 설명한다.
도 2a 내지 2f는 본 발명의 실시예에 따른 반도체 장치의 세정방법을 설명하기 위한 단면도들이다.
도 2a 내지 도 2c를 참조하면, 부분적으로 노광된 기판(100)상의 포토레지스트막(200)을 현상액(300)으로 현상하여 포토레지스트 패턴(220)을 형성한다(단계 S110).
도 2a를 참조하면, 상기 기판(100) 상에 포토레지스트막(200)을 형성한다.
상기 기판(100)은 예를 들면, 반도체 장치나 액정디스플레이장치(Liquid Crystal Display device: LCD device)등을 제조하기 위한 실리콘 기판 등 일 수 있다. 상기 기판(100)에는 사진식각 공정으로 식각하여 패턴을 형성하고자 하는 하부 구조물, 예를 들면, 산화막, 질화막, 실리콘막, 금속막 등이 형성되어 있을 수 있다.
상기 포토레지스트막(200)은 감광성 물질 등을 스핀 코팅 방법 기판에 도포하여 형성한다. 상기 감광성 물질은 양성 감광성 물질과 음성 감광성 물질로 분류되는데 양성 감광성 물질은 노광된 부분이 후속하는 현상공정에서 제거되는 물질을 의미한다. 본 실시예에서는 양성 감광성 물질을 예로 들어 설명하지만, 음성 감광성 물질을 사용하는 경우도 본 발명이 적용될 수 있다.
기타 부수적인 공정들은 선택적으로 행할 수 있다. 예를 들면, 포토레지스트막을 형성하기 전에 기판과 포토레지스트층의 접착력을 증대시키기 위하여 헥사메틸디실라잔(HexaMethylDiSilazane: HMDS) 등을 도포하거나, 노광시 난반사를 방지하기 위한 반사방지막을 형성할 수 있다. 또한 포토레지스트막 형성 후 기판의 오염 방지를 위하여 에지 비드 린스(Edge Bead Rinse: EBR) 공정을 수행하거나, 소프트 베이킹 공정을 수행하여 포토레지스트막 내의 수분 등을 제거할 수도 있다.
도 2b를 참조하면, 상기 포토레지스트막(200)을 마스크(250)를 이용하여 부분적으로 노광시킨다.
상기 포토레지스트막(200) 상부에 상기 포토레지스트막(200)의 소정 부위만을 선택적으로 노광하는 회로패턴이 새겨진 마스크(250)를 개재한다. 그리고 G-line, I-line, KrF, ArF, e-beam, 또는 X-ray 등의 광을 상기 마스크(250)을 통하여 상기 포토레지스트막(200)에 조사한다. 이에 따라, 노광된 포토레지스트막(210)은 상기 광이 조사되지 않은 부위의 포토레지스트막과 다른 용해도를 갖는다. 이때, 고집적화된 반도체 장치를 제조하기 위해서는 파장이 짧은 ArF, e-beam, 또는 X-ray 등을 사용하는 것이 바람직하다.
도 2c를 참조하면, 포토레지스트막(200, 210)을 수산화테트라메틸암모늄(Tetra Methyl Ammonium Hydroxide: TMAH) 등의 현상액(300)으로 현상하여 포토레지스트 패턴(220)을 완성한다. 양성 포토레지스틀 사용한 경우 노광된 포토레지스트막(210) 부분이 제거된다.
도 2d 및 도 2e를 참조하면, 상기 포토레지스트 패턴(220)이 형성된 기판(100)을 세정하여 상기 현상액(300)을 용매 및 계면활성제를 포함하고, 최대 기포 압력 방법에 의해 측정된 동적표면장력이 6 bubbles/second에서 50 dyne/cm이하인 세정액 조성물로 치환한다(단계 S120, S130).
도 2d를 참조하면, 상기 포토레지스트 패턴(220)이 형성된 기판(100)을 순수(DeIonized Water: DIW)를 사용하여 1차 세정하여 현상액(300)을 순수로 치환한다. 구체적으로 순수를 기판(100)을 회전시키면서 기판(100) 상부에서 순수를 충분히 부어주어 현상액(300)을 순수로 치환한다(단계 S120).
도 2e를 참조하면, 상기 1차 세정된 기판(100)을 세정액 조성물을 사용하여 2차 세정하여 순수(400)를 세정액 조성물로 치환한다. 상기 세정액 조성물은 용매 99.0 내지 99.99 중량% 및 계면활성제 0.01 내지 1.0 중량%를 포함하는 것으로, 최대 기포 압력 방법에 의해 측정된 동적표면장력이 6 bubbles/second에서 50 dyne/cm 이하인 것을 사용한다.
이러한 세정액 조성물의 조건을 만족시키는 계면활성제로는 상기 화학식 1 내지 6으로 표현되는 화합물이나 그들의 혼합물을 예시할 수 있다. 앞서 자세히 설명한 바와 같이 이러한 세정액 조성물을 사용하면, 후속하는 스핀 드라이 방법을 통한 세정액 제거 공정에서 포토레지스트 패턴(220)의 무너짐을 방지할 수 있다.
추가적으로, 상기 세정액 조성물 70 내지 100 중량%에 대하여 유기용제 0 내지 30 중량%를 더 첨가하면, 동적표면장력 특성이 보다 더 개선된다. 상기 유기용제로는 메틸알코올, 에틸알코올, 이소프로필알코올, 부틸알코올, 또는 이들의 혼합물을 예시할 수 있다.
도 2f를 참조하면, 상기 포토레지스트 패턴(220)이 형성된 기판(100)에서 세정액 조성물(500)을 제거한다(단계 S140).
상기 세정액 조성물(500)은 스핀 드라이 공정으로 제거한다. 스핀 드라이 공정을 수행하면 세정액 조성물(500)과 기체간에 새로운 계면이 형성된다. 이때 일반적인 순수 등을 세정액으로 사용하면 최소표면장력에 이르는 시간이 매우 길어진다. 즉 동적표면장력 특성이 매우 열악하고 따라서 포토레지스트 패턴은 무너지게 된다.
그러나 본 실시예에 의한 세정액 조성물(500)은 동적표면장력 특성이 매우 우수하므로 포토레지스트 패턴(220)의 무너짐이 방지된다. 이를 도면을 통하여 구체적으로 설명한다. 도 3a 내지 3c는 본 발명의 일실시예에 따른 세정방법에 의하는 경우 동적표면장력의 변화 매커니즘을 설명하기 위한 상기 도 2f의 A 부분의 확대단면도들이다.
도 3a를 참조하면, 새로운 기체(예를 들면, 대기나 공정 분위기)-액체(세정액 조성물) 계면이 생성된 직후에는 용매(510)내의 계면활성제 분자(520)는 아직 새로운 기체-액체 계면으로 이동하지 못하고 있으며 따라서 기체-액체의 계면장력은 계면활성제가 포함되지 않은 순수한 액체의 계면장력과 같다.
도 3b를 참조하면, 시간이 지남에 따라 액체내에 존재하고 있는 계면활성제 분자(520)는 기체-액체의 계면으로 이동하여 흡착 및 배열을 하게 되고 기체-액체의 계면장력은 점차 기체-액체의 계면에 존재하는 계면활성제 분자에 의해 낮아지게 된다.
도 3c를 참조하면, 시간이 보다 지나면, 새로운 기체-액체의 계면은 계면활성제 분자(520)로 포화되어 기체-액체의 계면장력이 가장 낮은 상태가 되며 이때의 계면장력이 평형 또는 정적표면장력을 의미하게 된다.
본 실시예에 따른 세정액 조성물을 사용하면, 새로운 계면이 형성된 도 3a의 상태에서 최소 표면장력을 가지게 되는 도 3c의 상태에 이르는 시간이 순수 등에 비하여 획기적으로 단축된다. 따라서 포토레지스트 패턴 무너짐의 원인이 되는 모세관 힘이 신속히 줄어들어 포토레지스트 패턴의 무너짐이 방지된다.
그리고 본 발명은 상술한 세정액 조성물들을 이용한 반도체 장치의 세정방법의 다른 실시예를 제공한다. 본 실시예에 의하면, 포토레지스트 패턴을 형성하고, 상기 기판을 세정하여 현상액을 세정액으로 치환하는 세정단계를 수행한다. 이어서 상기 포토레지스트 패턴이 형성된 기판에서 세정액 조성물을 제거한다.
본 실시예의 경우 상기 도 1, 도 2a 내지 도 2f, 및 도 3a 내지 3c를 참조하여 설명한 앞선 실시예와 유사하지만, 세정단계에서 용매 및 상기 화학식 1 내지 6으로 표현되는 화합물들 중에서 1 종 이상 선택되는 계면활성제를 포함하는 세정액 조성물을 사용한다. 상기 용매 약 99.0 내지 99.99 중량%와 상기 계면활성제 약 0.01 내지 1.0 중량%를 포함하는 것이 바람직하다.
이러한 세정액 조성물을 사용하면, 후속하는 스핀 드라이 방법을 통한 세정액 제거 공정에서 포토레지스트 패턴의 무너짐을 방지할 수 있다. 즉, 새로운 계면이 형성된 후 최소 표면장력을 가지게 되는 상태에 이르는 시간이 순수 등에 비하여 획기적으로 단축된다. 따라서 포토레지스트 패턴 무너짐의 원인이 되는 모세관 힘이 신속히 줄어들어 포토레지스트 패턴의 무너짐이 방지된다.
추가적으로, 상기 세정액 조성물 70 내지 100 중량%에 대하여 유기용제 0 내지 30 중량%를 더 첨가하면, 동적표면장력 특성이 보다 더 개선된다. 상기 유기용제로는 메틸알코올, 에틸알코올, 이소프로필알코올, 부틸알코올, 또는 이들의 혼합물을 예시할 수 있다.
이하의 실시예 및 비교예를 통하여 본 발명을 더욱 상세하게 설명한다. 단, 실시예는 본 발명을 예시하기 위한 것이지 이들만으로 한정하는 것은 아니다.
실시예 1
(세정액 조성물의 제조)
옥틸 페놀 에톡시레이트(Octyl phenol ethoxylate)(에틸렌 옥사이드(ethylene oxide) 10 mol부가물)(이하, 'A'라 한다.) 1.0 중량%와 순수 99 중량%를 혼합하여 세정액 조성물을 제조하였다.
(포토레지스트 패턴의 형성)
반도체용 웨이퍼 상에 스핀코팅에 의해 메타크릴레이트 타입의 상용화된 ArF용 포토레지스트(Fujifilm Arch사의 FARS-C20)를 2700∼2900Å의 두께로 코팅하였다. 이어서, 160nm의 피치(pitch)를 갖는 테스트 패턴이 새겨진 마스크를 이용하여 웨이퍼상에 구간별로 노광량을 조절함으로서 현상 후 생성되는 패턴의 폭을 조절하였다. 구체적으로, 패턴의 폭은 70㎚에서 5㎚ 단위로 증가시켜 110㎚까지 9 종으로 조절하였고, 노광은 니콘(Nikon)사의 S306C ArF scanner(NA=0.78)로 수행하였다. 이어서, 웨이퍼를 110℃에서 60초간 소프트 베이크를 실시한 후 2.38% TMAH 수용액으로 현상하였다.
(세정)
포토레지스트 패턴이 형성된 웨이퍼를 현상한 후 순수를 이용하여 현상액을 세척 및 치환하였다(1차 세정). 이어서 1 차 세정 후 건조되지 않은 기판을 상기 실시예 1에 의해 준비된 세정액 조성물을 이용하여 웨이퍼상의 순수를 세척 및 치환하였다(2차 세정).
(세정액 조성물의 제거)
상기 세정액으로 치환된 웨이퍼를 2500rpm으로 15초간 스핀시키면서 세정액을 건조하였다.
실시예 2 내지 11
(세정액 조성물의 제조)
실시예 1과는 다른 조성으로 실시예 2 내지 11의 세정액 조성물을 제조하였다. 구체적인 조성은 하기 표 1에 나타내었다. 세정액 조성물 중 계면활성제나 유기용제를 제외한 나머지 잔부는 순수를 사용하였다.
하기 표 1에서 B는 옥틸 페놀 에톡실레이트(octyl phenol ethoxyalte)(에틸렌 옥사이드(ethylene oxide) 10 mol 및 프로필렌 옥사이드(propylene oxide) 2 mol의 부가물), C는 테트라메틸 디사인 디올(tetramethyl decyne diol), D는 데칸올 에톡실레이트(decanol ethoxylate), E는 암모니움 디옥틸 설포썩시네이트(ammonium dioctyl sulfosuccinate), F는 Zonyl FSJ(듀폰사의 불소계 계면활성제의 상품명), G는 L7614 (유니온 카바이드사의 실리콘계 계면활성제의 상품명)를 나타낸다.
또한, 상기 실시예 11의 유기용제로는 이소플로필 알코올을 사용하였다.
(세정)
상기 실싱예 1에서와 동일한 방법으로 포토레지스트 패턴의 형성에서 세정액의 제거 과정까지를 실시하였다. 다만, 세정시 기판을 상기 준비된 10종의 세정액 조성물(실시예 2 내지 10)를 사용하여 상기 실시예 1과 동일한 방법으로 세정하였다.
비교예 1 내지 4
(세정액 조성물의 제조)
실시예 1과는 다른 조성으로 비교예 1 내지 4의 세정액 조성물을 제조하였다. 구체적인 조성은 하기 표 1에 나타내었다. 세정액 조성물 중 계면활성제를 제외한 나머지 잔부는 순수를 사용하였다.
(세정)
상기 실시예 1에서와 동일한 방법으로 포토레지스트 패턴의 형성에서 세정액의 제거 과정까지를 실시하였다. 다만, 세정시 기판을 상기 준비된 4종의 세정액 조성물(비교예 1 내지 4)을 사용하여 상기 실시예 1과 동일한 방법으로 세정하였다.
계면활성제(중량%) 유기용제(중량%)
A B C D E F G
실시예 1 1.0 - - - - - - -
실시예 2 0.5 - - - - - - -
실시예 3 0.1 - - - - - - -
실시예 4 - 0.1 - - - - - -
실시예 5 - - 0.05 - - - - -
실시예 6 - - - 0.1 - - - -
실시예 7 - - - - 0.1 - - -
실시예 8 0.05 - - 0.05 - - - -
실시예 9 - 0.05 - - 0.05 - - -
실시예 10 0.3 - 0.15 - - - - -
실시예 11 0.1 - - - - - - 20
비교예 1 - - - - - - - -
비교예 2 - - - - - 0.1 - -
비교예 3 - - - - - 0.1 -
비교예 4 - - 0.15 - - 0.3 - -
시험예(표면장력 측정)
상기 실시예 1∼11 및 비교예 1~4에서 제조한 세정액 조성물에 대하여 각각 평형표면장력 및 동적표면장력을 25℃에서 측정하였으며 그 결과는 다음의 표 2와 같았다. 평형표면장력 및 동적표면장력은 각각 KRUSS社의 KT100 및 BP2를 사용하여 측정하였다.
정적표면장력(dyne/㎝) 동적표면장력(dyne/㎝)
실시예 1 33 35
실시예 2 33 37
실시예 3 33 40
실시예 4 35 41
실시예 5 33 37
실시예 6 29 35
실시예 7 32 34
실시예 8 31 37
실시예 9 33 37
실시예 10 33 35
실시예 11 30 37
비교예 1 72 72
비교예 2 26 66
비교예 3 27 61
비교예 4 29 45
상기 표면장력의 측정결과에 따르면, 실시예 1 내지 11에 있어서 동적표면장력의 값이 6bubbles/초에서 50dyne/cm 이하의 범위에 들어 있음을 알 수 있었다. 이와 비교하여 계면활성제를 포함하지 않은 순수를 세정액으로 사용한 비교예 1의 경우, 동적표면장력 특성은 나타나지 않고 평형표면장력의 값과 동일한 72dyne/cm으로 매우 높았다. 그리고 비교예 2 및 3의 경우에는 비록 평형표면장력은 실시예와 비교하여 매우 낮은 값을 갖으나 동적표면장력 특성이 좋지 못한 것을 알 수 있었다.
특히 실시예 5에서 사용한 테트라메틸 디사인 디올(tetramethyl decyne diol)의 경우 동적표면장력의 특성이 매우 우수하나 친수기의 분자량이 작아 25℃에서 물에 대한 용해도가 0.05%이하로 매우 작다. 이를 친수기의 분자량이 큰 옥틸 페놀 에톡시레이트(octyl phenol ethoxyalte)와 혼합한 실시예 10의 경우를 보면 상기 옥틸 페놀 에톡시레이트가 가용화제의 역할을 하여 테트라메틸 디사인 디올을 보다 높은 농도로 순수에 용해되도록 하였으며 동적표면장력의 값이 더욱 낮아지는 결과를 얻었다.
이에 반하여 비교예 4에서는 테트라메틸 디사인 디올을 Zonyl FSJ와 혼합하여 보다 높은 농도로 용해시켰을 때 오히려 테트라메틸 디사인 디올의 동적표면장력 특성보다 좋지 못한 결과를 얻었다.
시험예 2 (포토레지스트 패턴 무너짐 관찰)
상기 실시예 1∼11 및 비교예 1~4에서 제시된 세정액 조성물을 사용하여 세정한 포토레지스트 패턴이 형성된 기판을 전자주사현미경(Hitachi CD-SEM HS-9200)으로 관찰하여 패턴의 무너짐 여부를 관찰하였다.
이와 같이 제시된 실시예들 및 비교예들의 포토레지스트 패턴의 무너짐에 대한 실험결과는 표 3과 같다.
패턴 폭 110㎚ 105㎚ 100㎚ 95㎚ 90㎚ 85㎚ 80㎚ 75㎚ 70㎚
실시예 1 0 0 0 0 0 0 0 0 0
실시예 2 0 0 0 0 0 0 0 * *
실시예 3 0 0 0 0 0 0 * * *
실시예 4 0 0 0 0 0 0 * * *
실시예 5 0 0 0 0 0 0 0 * *
실시예 6 0 0 0 0 0 0 0 0 0
실시예 7 0 0 0 0 0 0 0 0 0
실시예 8 0 0 0 0 0 0 0 * *
실시예 9 0 0 0 0 0 0 0 * *
실시예 10 0 0 0 0 0 0 0 0 0
실시예 11 0 0 0 0 0 0 0 * *
비교예 1 0 * X X X X X X X
비교예 2 0 0 * * X X X X X
비교예 3 0 0 * * * X X X X
비교예 4 0 0 0 0 0 * * * X
상기 결과에서 볼 수 있듯이 본 발명에 의한 실시예 1∼11의 경우 85nm까지 전혀 포토레지스트 패턴의 붕괴가 관찰되지 않았으며 일부 실시예에서는 70nm까지도 붕괴가 관찰되지 않았다.
비교예 1의 경우 100nm이상에서도 패턴의 붕괴가 관찰되었으며 비교예 2 및 3의 경우 비교예 1에 비하여 다소간의 패턴붕괴 방지효과를 얻을 수 있었으나 100nm이하에서는 패턴이 붕괴되는 것이 관찰할 수 있었다. 비교예 2 및 3의 경우 평형표면장력이 매우 낮음에도 불구하고 결과가 좋지 못한 것은 포토레지스트 패턴의 붕괴가 세정액의 동적표면장력에 크게 영향을 받는다는 실증하는 것이다.
특히 동적표면장력 특성이 우수하나 물에 대한 용해도가 낮은 테트라메틸 디사인 디올에 옥틸 페놀 에톡시레이트를 첨가하여 가용성을 향상시켜 보다 높은 농도로 제조한 실시예 10의 경우 테트라메틸 디사인 디올을 단독으로 사용한 실시예 5에 비해 더욱 좋은 패턴붕괴 방지효과를 얻을 수 있었다. 이에 반해 동적표면장력 특성이 좋지 못한 Zonyl FSJ를 가용화제로 사용한 비교예 4의 경우 오히려 Zonyl FSJ를 사용하지 않은 실시예 5에 비해 결과가 상대적으로 좋지 못했음을 알 수 있었다.
이는 친수기 분자량이 높아 물에 잘 녹는 계면활성제를 가용화제로서 첨가하면 물에 잘 녹지 않는 계면활성제를 보다 높은 농도로 세정액 제조에 이용할 수 있게 되어, 세정액의 동적표면장력 특성이 향상되어 바람직한 결과를 얻을 수 있게 된다. 또한 가용화제로서 첨가되는 계면활성제 자체의 동적표면장력 특성이 세정액 조성물의 성능에 큰 영향을 미침을 알 수 있었다.
본 발명에 따른 동적표면장력 특성이 우수한 세정액을 사용함으로서, 약 100nm이하의 미세 포토레지스트 패턴을 형성할 때 문제되는 포토레지스트 패턴의 무너짐을 방지할 수 있다. 이러한 미세한 포토레지스트 패턴을 이용하면, 고집적의 반도체 소자의 각종 패턴을 정확하고 용이하게 형성할 수 있다. 또한 계면활성제를 2 종 이상 조합하여 사용하면, 고농도의 계면활성제를 포함하는 세정액을 제조할 수 있으므로, 물류비 절감효과도 기대할 수 있다.
따라서, 신뢰성 높은 반도체 소자를 경제적으로 생산할 수 있게 되므로 전체적인 반도체 제조 공정에 소요되는 시간 및 비용을 절감할 수 있게 된다. 또한, 이는 미세 선폭을 가지는 차세대 디바이스들의 제조공정에 적용할 수 있는 경쟁력 있는 기술이다.
상기에서는 본 발명의 바람직한 실시예를 참조하여 설명하였지만, 해당 기술 분야의 숙련된 당업자는 하기의 특허 청구의 범위에 기재된 본 발명의 사상 및 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.
도 1은 본 발명의 실시예에 따른 반도체 장치의 세정방법을 설명하기 위한 순서도이다.
도 2a 내지 2f는 본 발명의 실시예에 따른 반도체 장치의 세정방법을 설명하기 위한 단면도들이다.
도 3a 내지 3c는 본 발명의 일실시예에 따른 세정방법에 의하는 경우 동적표면장력의 변화 매커니즘을 설명하기 위한 상기 도 2f의 A 부분의 확대단면도들이다.
* 도면의 주요 부분에 대한 간단한 설명 *
100 : 기판 200 : 포토레지스트막
210 : 노광된 포토레지스트막 220 : 포토레지스트 패턴
250 : 마스크 300 : 현상액
400 : 순수 500 : 세정액 조성물
510 : 용매 520 : 계면활성제

Claims (24)

  1. 계면 활성제; 및
    용매를 포함하고,
    최대 기포 압력 방법(maximum bubble pressure method)에 의해 측정된 동적표면장력이 6 bubbles/second에서 50 dyne/cm 이하인 세정액 조성물.
  2. 제1 항에 있어서,
    상기 계면활성제 0.01 내지 1.0 중량%; 및
    상기 용매 99.0 내지 99.99 중량%를 포함하는 것을 특징으로 하는 세정액 조성물.
  3. 제1 항에 있어서, 상기 계면활성제가 하기 화학식 1 내지 6으로 표현되는 화합물들로 이루어진 군에서 선택되는 적어도 하나인 것을 특징으로 하는 세정액 조성물:
    [화학식 1]
    상기 화학식 1에서 R1, R2는 각각 탄소수 3∼6의 직쇄 또는 분지구조의 포화탄화수소이고, R3, R4는 각각 알킬에폭사이드 중합단량체이며, a, b는 각각 0∼10인 정수이며,
    바람직하게는 R1, R2는 각각 4∼5이고, R3, R4의 알킬에폭사이드 중합단량체는 에틸렌옥사이드(-C2H4O-), 프로필렌옥사이드(-C3H6O-), 또는 이들의 공중합체이며, a, b는 각각 0∼5이며;
    [화학식 2]
    상기 화학식 2에서 R5, R6, R7은 각각 수소원자(H) 또는 탄소수 1∼11의 직쇄 또는 분지구조의 포화탄화수소이고, R8, R9는 각각 알킬에폭사이드 중합단량체이며, c, d는 0∼10인 정수이며,
    바람직하게는 R8, R9의 알킬에폭사이드 중합단량체는 에틸렌옥사이드(-C2H4O-), 프로필렌옥사이드(-C3H6O-), 또는 이들의 공중합체이고, c, d는 각각 1∼5이며;
    [화학식 3]
    상기 화학식 3에서 R10, R11은 각각 수소원자(H) 또는 탄소수 1∼12의 직쇄 또는 분지구조의 포화탄화수소이고, R12는 알킬에폭사이드 중합단량체이며, e는 1∼15의 정수이고,
    바람직하게는 R12의 알킬에폭사이드 중합단량체는 에틸렌옥사이드(-C2H4O-), 프로필렌옥사이드(-C3H6O-), 또는 이들의 공중합체이고, e는 5∼13이며;
    [화학식 4]
    상기 화학식 4에서 R13, R14, R15는 각각 수소원자 또는 벤젠고리를 갖는 스티렌(styrene)화합물이고, R16은 알킬에폭사이드 중합단량체이며, f는 1∼15인 정수이며,
    바람직하게는 R16의 알킬에폭사이드 중합단량체는 에틸렌옥사이드(-C2H4O-), 프로필렌옥사이드(-C3H6O-), 또는 이들의 공중합체이고, f는 3∼10이며;
    [화학식 5]
    상기 화학식 5에서 R17은 탄소수 6∼10의 직쇄 또는 분지구조의 포화탄화수소이고, R16은 알킬에폭사이드 중합단량체이며, g는 1∼15인 정수이며,
    바람직하게는 R16의 알킬에폭사이드 중합단량체는 에틸렌옥사이드(-C2H4O-), 프로필렌옥사이드(-C3H6O-), 또는 이들의 공중합체이고, g는 3∼10이며;
    [화학식 6]
    상기 화학식 6에서 R19, R20은 각각 탄소수 5∼12의 직쇄 또는 분지구조의 포화탄화수소이고, M은 암모니아 또는 알카놀아민이며,
    바람직하게는 R19, R20은 7∼9이고, M은 암모니아, 모노에탄올아민, 디에탄올아민, 또는 트리에탄올아민이다.
  4. 제1 항에 있어서, 상기 용매가 순수인 것을 특징으로 하는 세정액 조성물.
  5. 제1 항에 있어서, 상기 세정액 조성물 70 내지 100 중량%에 대하여 유기용제 0 내지 30 중량%를 더 포함하는 것을 특징으로 하는 세정액 조성물.
  6. 제5 항에 있어서, 상기 유기용제가 메틸알코올, 에틸알코올, 이소프로필알코올, 및 부틸알코올로 이루어진 군에서 선택되는 적어도 하나인 것을 특징으로 하는 세정액 조성물.
  7. 하기 화학식 1 내지 6으로 표현되는 화합물들로 이루어진 군에서 선택되는 적어도 하나인 계면활성제; 및
    용매를 포함하는 세정액 조성물:
    [화학식 1]
    상기 화학식 1에서 R1, R2는 각각 탄소수 3∼6의 직쇄 또는 분지구조의 포화탄화수소이고, R3, R4는 각각 알킬에폭사이드 중합단량체이며, a, b는 각각 0∼10인 정수이며,
    바람직하게는 R1, R2는 각각 4∼5이고, R3, R4의 알킬에폭사이드 중합단량체는 에틸렌옥사이드(-C2H4O-), 프로필렌옥사이드(-C3H6O-), 또는 이들의 공중합체이며, a, b는 각각 0∼5이며;
    [화학식 2]
    상기 화학식 2에서 R5, R6, R7은 각각 수소원자(H) 또는 탄소수 1∼11의 직쇄 또는 분지구조의 포화탄화수소이고, R8, R9는 각각 알킬에폭사이드 중합단량체이며, c, d는 0∼10인 정수이며,
    바람직하게는 R8, R9의 알킬에폭사이드 중합단량체는 에틸렌옥사이드(-C2H4O-), 프로필렌옥사이드(-C3H6O-), 또는 이들의 공중합체이고, c, d는 각각 1∼5이며;
    [화학식 3]
    상기 화학식 3에서 R10, R11은 각각 수소원자(H) 또는 탄소수 1∼12의 직쇄 또는 분지구조의 포화탄화수소이고, R12는 알킬에폭사이드 중합단량체이며, e는 1∼15의 정수이고,
    바람직하게는 R12의 알킬에폭사이드 중합단량체는 에틸렌옥사이드(-C2H4O-), 프로필렌옥사이드(-C3H6O-), 또는 이들의 공중합체이고, e는 5∼13이며;
    [화학식 4]
    상기 화학식 4에서 R13, R14, R15는 각각 수소원자 또는 벤젠고리를 갖는 스티렌(styrene)화합물이고, R16은 알킬에폭사이드 중합단량체이며, f는 1∼15인 정수이며,
    바람직하게는 R16의 알킬에폭사이드 중합단량체는 에틸렌옥사이드(-C2H4O-), 프로필렌옥사이드(-C3H6O-), 또는 이들의 공중합체이고, f는 3∼10이며;
    [화학식 5]
    상기 화학식 5에서 R17은 탄소수 6∼10의 직쇄 또는 분지구조의 포화탄화수소이고, R16은 알킬에폭사이드 중합단량체이며, g는 1∼15인 정수이며,
    바람직하게는 R16의 알킬에폭사이드 중합단량체는 에틸렌옥사이드(-C2H4O-), 프로필렌옥사이드(-C3H6O-), 또는 이들의 공중합체이고, g는 3∼10이며;
    [화학식 6]
    상기 화학식 6에서 R19, R20은 각각 탄소수 5∼12의 직쇄 또는 분지구조의 포화탄화수소이고, M은 암모니아 또는 알카놀아민이며,
    바람직하게는 R19, R20은 7∼9이고, M은 암모니아, 모노에탄올아민, 디에탄올아민, 또는 트리에탄올아민이다.
  8. 제7 항에 있어서,
    상기 화학식 1 내지 6으로 표현되는 화합물들로 이루어진 군에서 선택되는 적어도 하나의 계면활성제 0.01 내지 1.0 중량%; 및
    상기 용매 99.0 내지 99.99 중량%를 포함하는 세정액 조성물.
  9. 제7 항에 있어서, 상기 세정액 조성물 70 내지 100 중량%에 대하여 유기용제 0 내지 30 중량%를 더 포함하는 것을 특징으로 하는 세정액 조성물.
  10. 제9 항에 있어서, 상기 유기용제가 메틸알코올, 에틸알코올, 이소프로필알코올, 및 부틸알코올로 이루어진 군에서 선택되는 적어도 하나인 것을 특징으로 하는 세정액 조성물.
  11. 제7 항에 있어서, 상기 용매가 순수인 것을 특징으로 하는 세정액 조성물.
  12. 부분적으로 노광된 기판상의 포토레지스트막을 현상액으로 현상하여 포토레지스트 패턴을 형성하는 단계;
    상기 포토레지스트 패턴이 형성된 기판을 세정하여 상기 현상액을 계면활성제 및 용매를 포함하고, 최대 기포 압력 방법에 의해 측정된 동적표면장력이 6 bubbles/second에서 50 dyne/cm이하인 세정액 조성물로 치환하는 단계; 및
    상기 포토레지스트 패턴이 형성된 기판에서 세정액 조성물을 제거하는 단계를 포함하는 반도체 장치의 세정방법.
  13. 제12 항에 있어서, 상기 포토레지스트 패턴의 형성이
    상기 기판상에 포토레지스트막을 형성하는 단계;
    상기 포토레지스트막을 마스크를 이용하여 부분적으로 노광시키는 단계; 및
    상기 노광된 포토레지스트막을 현상액으로 현상하여 포토레지스트 패턴을 형성하는 단계를 포함하는 것을 특징으로 하는 반도체 장치의 세정방법.
  14. 제12 항에 있어서, 상기 포토레지스트막의 노광이 G-line, I-line, KrF, ArF, e-beam, 또는 X-ray를 이용하여 수행되는 것을 특징으로 하는 반도체 장치의 세정방법.
  15. 제12 항에 있어서, 상기 포토레지스트막의 노광이 ArF, e-beam, 또는 X-ray를 이용하여 수행되는 것을 특징으로 하는 반도체 장치의 세정방법.
  16. 제12 항에 있어서, 상기 세정이
    상기 포토레지스트 패턴이 형성된 기판을 순수를 사용하여 1차 세정하여 현상액을 순수로 치환하는 단계;
    상기 1차 세정된 기판을 세정액을 사용하여 2차 세정하여 순수를 세정액으로 치환하는 단계를 포함하는 것을 특징으로 하는 반도체 장치의 세정방법.
  17. 제12 항에 있어서, 상기 세정액 조성물이
    상기 계면활성제 0.01 내지 1.0 중량%; 및
    상기 용매 99.0 내지 99.99 중량%를 포함하는 것을 특징으로 하는 반도체 장치의 세정방법.
  18. 제12 항에 있어서, 상기 계면활성제가 하기 화학식 1 내지 6으로 표현되는 화합물들로 이루어진 군에서 선택되는 적어도 하나인 것을 특징으로 하는 반도체 장치의 세정방법:
    [화학식 1]
    상기 화학식 1에서 R1, R2는 각각 탄소수 3∼6의 직쇄 또는 분지구조의 포화탄화수소이고, R3, R4는 각각 알킬에폭사이드 중합단량체이며, a, b는 각각 0∼10인 정수이며,
    바람직하게는 R1, R2는 각각 4∼5이고, R3, R4의 알킬에폭사이드 중합단량체는 에틸렌옥사이드(-C2H4O-), 프로필렌옥사이드(-C3H6O-), 또는 이들의 공중합체이며, a, b는 각각 0∼5이며;
    [화학식 2]
    상기 화학식 2에서 R5, R6, R7은 각각 수소원자(H) 또는 탄소수 1∼11의 직쇄 또는 분지구조의 포화탄화수소이고, R8, R9는 각각 알킬에폭사이드 중합단량체이며, c, d는 0∼10인 정수이며,
    바람직하게는 R8, R9의 알킬에폭사이드 중합단량체는 에틸렌옥사이드(-C2H4O-), 프로필렌옥사이드(-C3H6O-), 또는 이들의 공중합체이고, c, d는 각각 1∼5이며;
    [화학식 3]
    상기 화학식 3에서 R10, R11은 각각 수소원자(H) 또는 탄소수 1∼12의 직쇄 또는 분지구조의 포화탄화수소이고, R12는 알킬에폭사이드 중합단량체이며, e는 1∼15의 정수이고,
    바람직하게는 R12의 알킬에폭사이드 중합단량체는 에틸렌옥사이드(-C2H4O-), 프로필렌옥사이드(-C3H6O-), 또는 이들의 공중합체이고, e는 5∼13이며;
    [화학식 4]
    상기 화학식 4에서 R13, R14, R15는 각각 수소원자 또는 벤젠고리를 갖는 스티렌(styrene)화합물이고, R16은 알킬에폭사이드 중합단량체이며, f는 1∼15인 정수이며,
    바람직하게는 R16의 알킬에폭사이드 중합단량체는 에틸렌옥사이드(-C2H4O-), 프로필렌옥사이드(-C3H6O-), 또는 이들의 공중합체이고, f는 3∼10이며;
    [화학식 5]
    상기 화학식 5에서 R17은 탄소수 6∼10의 직쇄 또는 분지구조의 포화탄화수소이고, R16은 알킬에폭사이드 중합단량체이며, g는 1∼15인 정수이며,
    바람직하게는 R16의 알킬에폭사이드 중합단량체는 에틸렌옥사이드(-C2H4O-), 프로필렌옥사이드(-C3H6O-), 또는 이들의 공중합체이고, g는 3∼10이며;
    [화학식 6]
    상기 화학식 6에서 R19, R20은 각각 탄소수 5∼12의 직쇄 또는 분지구조의 포화탄화수소이고, M은 암모니아 또는 알카놀아민이며,
    바람직하게는 R19, R20은 7∼9이고, M은 암모니아, 모노에탄올아민, 디에탄올아민, 또는 트리에탄올아민이다.
  19. 제12 항에 있어서, 상기 용매가 순수인 것을 특징으로 하는 반도체 장치의 세정방법.
  20. 제12 항에 있어서, 상기 세정액 조성물 70 내지 100 중량%에 대하여 유기용제 0 내지 30 중량%를 더 포함하는 것을 특징으로 하는 반도체 장치의 세정방법.
  21. 제20 항에 있어서, 상기 유기용제가 메틸알코올, 에틸알코올, 이소프로필알코올, 및 부틸알코올로 이루어진 군에서 선택되는 적어도 하나인 것을 특징으로 하는 반도체 장치의 세정방법.
  22. 제12 항에 있어서, 상기 세정액의 제거가 스핀 드라이방법에 의하여 수행되는 것을 특징으로 하는 반도체 장치의 세정방법.
  23. 부분적으로 노광된 기판상의 포토레지스트막을 현상액으로 현상하여 포토레지스트 패턴을 형성하는 단계;
    상기 포토레지스트 패턴이 형성된 기판을 세정하여 상기 현상액을 하기 화학식 1 내지 6으로 표현되는 화합물들로 이루어진 군에서 선택되는 적어도 하나의 계면활성제 및 용매를 포함하는 세정액 조성물로 치환하는 단계; 및
    상기 포토레지스트 패턴이 형성된 기판에서 세정액 조성물을 제거하는 단계를 포함하는 반도체 장치의 세정방법:
    [화학식 1]
    상기 화학식 1에서 R1, R2는 각각 탄소수 3∼6의 직쇄 또는 분지구조의 포화탄화수소이고, R3, R4는 각각 알킬에폭사이드 중합단량체이며, a, b는 각각 0∼10인 정수이며,
    바람직하게는 R1, R2는 각각 4∼5이고, R3, R4의 알킬에폭사이드 중합단량체는 에틸렌옥사이드(-C2H4O-), 프로필렌옥사이드(-C3H6O-), 또는 이들의 공중합체이며, a, b는 각각 0∼5이며;
    [화학식 2]
    상기 화학식 2에서 R5, R6, R7은 각각 수소원자(H) 또는 탄소수 1∼11의 직쇄 또는 분지구조의 포화탄화수소이고, R8, R9는 각각 알킬에폭사이드 중합단량체이며, c, d는 0∼10인 정수이며,
    바람직하게는 R8, R9의 알킬에폭사이드 중합단량체는 에틸렌옥사이드(-C2H4O-), 프로필렌옥사이드(-C3H6O-), 또는 이들의 공중합체이고, c, d는 각각 1∼5이며;
    [화학식 3]
    상기 화학식 3에서 R10, R11은 각각 수소원자(H) 또는 탄소수 1∼12의 직쇄 또는 분지구조의 포화탄화수소이고, R12는 알킬에폭사이드 중합단량체이며, e는 1∼15의 정수이고,
    바람직하게는 R12의 알킬에폭사이드 중합단량체는 에틸렌옥사이드(-C2H4O-), 프로필렌옥사이드(-C3H6O-), 또는 이들의 공중합체이고, e는 5∼13이며;
    [화학식 4]
    상기 화학식 4에서 R13, R14, R15는 각각 수소원자 또는 벤젠고리를 갖는 스티렌(styrene)화합물이고, R16은 알킬에폭사이드 중합단량체이며, f는 1∼15인 정수이며,
    바람직하게는 R16의 알킬에폭사이드 중합단량체는 에틸렌옥사이드(-C2H4O-), 프로필렌옥사이드(-C3H6O-), 또는 이들의 공중합체이고, f는 3∼10이며;
    [화학식 5]
    상기 화학식 5에서 R17은 탄소수 6∼10의 직쇄 또는 분지구조의 포화탄화수소이고, R16은 알킬에폭사이드 중합단량체이며, g는 1∼15인 정수이며,
    바람직하게는 R16의 알킬에폭사이드 중합단량체는 에틸렌옥사이드(-C2H4O-), 프로필렌옥사이드(-C3H6O-), 또는 이들의 공중합체이고, g는 3∼10이며;
    [화학식 6]
    상기 화학식 6에서 R19, R20은 각각 탄소수 5∼12의 직쇄 또는 분지구조의 포화탄화수소이고, M은 암모니아 또는 알카놀아민이며,
    바람직하게는 R19, R20은 7∼9이고, M은 암모니아, 모노에탄올아민, 디에탄올아민, 또는 트리에탄올아민이다.
  24. 제23 항에 있어서, 상기 세정액 조성물이
    상기 용매 99.0 내지 99.99 중량%; 및
    상기 계면활성제 0.01 내지 1.0 중량%를 포함하는 것을 특징으로 하는 반도체 장치의 세정방법.
KR1020040006986A 2004-02-03 2004-02-03 세정액 조성물 및 이를 이용한 반도체 장치의 세정방법 KR100574349B1 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020040006986A KR100574349B1 (ko) 2004-02-03 2004-02-03 세정액 조성물 및 이를 이용한 반도체 장치의 세정방법
JP2005018877A JP2005220350A (ja) 2004-02-03 2005-01-26 洗浄液組成物及びこれを用いた半導体装置の洗浄方法
US11/048,069 US20050233922A1 (en) 2004-02-03 2005-02-02 Cleaning solution and method of cleaning semiconductor devices using the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020040006986A KR100574349B1 (ko) 2004-02-03 2004-02-03 세정액 조성물 및 이를 이용한 반도체 장치의 세정방법

Publications (2)

Publication Number Publication Date
KR20050078903A true KR20050078903A (ko) 2005-08-08
KR100574349B1 KR100574349B1 (ko) 2006-04-27

Family

ID=34996229

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040006986A KR100574349B1 (ko) 2004-02-03 2004-02-03 세정액 조성물 및 이를 이용한 반도체 장치의 세정방법

Country Status (3)

Country Link
US (1) US20050233922A1 (ko)
JP (1) JP2005220350A (ko)
KR (1) KR100574349B1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7825041B2 (en) 2007-02-08 2010-11-02 Samsung Electronics Co., Ltd. Method of reworking a semiconductor substrate and method of forming a pattern of a semiconductor device
KR20150036461A (ko) * 2012-07-10 2015-04-07 바스프 에스이 제미니 첨가제를 포함하는 항 패턴 붕괴 처리용 조성물
KR20180033229A (ko) * 2015-07-16 2018-04-02 바스프 에스이 설포에스테르의 암모늄 염을 함유하는 결함 감소 헹굼 용액

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008034779A (ja) 2006-06-27 2008-02-14 Dainippon Screen Mfg Co Ltd 基板処理方法および基板処理装置
JP4812563B2 (ja) * 2006-08-29 2011-11-09 大日本スクリーン製造株式会社 基板処理方法および基板処理装置
DE102007058503B4 (de) * 2007-12-05 2011-08-25 Siltronic AG, 81737 Verfahren zur nasschemischen Behandlung einer Halbleiterscheibe
US20120108040A1 (en) * 2010-11-01 2012-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Vaporizing polymer spray deposition system
US9595440B2 (en) 2010-11-01 2017-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of using a vaporizing spray system to perform a trimming process
US20130040246A1 (en) * 2011-08-09 2013-02-14 Tokyo Electron Limited Multiple chemical treatment process for reducing pattern defect
WO2014023397A2 (de) * 2012-08-06 2014-02-13 Merck Patent Gmbh Tensidmischungen
CN104871289B (zh) * 2012-12-14 2017-10-10 巴斯夫欧洲公司 包含表面活性剂和疏水化剂的组合物在处理线间距尺寸为50nm或更低的图案化材料时避免图案崩塌的用途
JP7458930B2 (ja) * 2020-08-03 2024-04-01 株式会社Screenホールディングス 基板処理方法および基板処理装置

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5474877A (en) * 1994-02-24 1995-12-12 Nec Corporation Method for developing a resist pattern
JP2950407B2 (ja) * 1996-01-29 1999-09-20 東京応化工業株式会社 電子部品製造用基材の製造方法
US6815151B2 (en) * 1997-09-05 2004-11-09 Tokyo Ohika Kogyo Co., Ltd. Rinsing solution for lithography and method for processing substrate with the use of the same
US5977041A (en) * 1997-09-23 1999-11-02 Olin Microelectronic Chemicals Aqueous rinsing composition
US6455234B1 (en) * 1999-05-04 2002-09-24 Air Products And Chemicals, Inc. Acetylenic diol ethylene oxide/propylene oxide adducts and their use in photoresist developers
JP3433156B2 (ja) * 1999-05-07 2003-08-04 エア プロダクツ アンド ケミカルズ インコーポレイテッド 前もって湿らせたクリーニングワイパー
US6268115B1 (en) * 2000-01-06 2001-07-31 Air Products And Chemicals, Inc. Use of alkylated polyamines in photoresist developers
US6136514A (en) * 2000-01-31 2000-10-24 Advanced Micro Devices, Inc. Resist developer saving system using material to reduce surface tension and wet resist surface
US6451510B1 (en) * 2001-02-21 2002-09-17 International Business Machines Corporation Developer/rinse formulation to prevent image collapse in resist
TW538472B (en) * 2001-04-27 2003-06-21 Kobe Steel Ltd Method and system for processing substrate

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7825041B2 (en) 2007-02-08 2010-11-02 Samsung Electronics Co., Ltd. Method of reworking a semiconductor substrate and method of forming a pattern of a semiconductor device
KR20150036461A (ko) * 2012-07-10 2015-04-07 바스프 에스이 제미니 첨가제를 포함하는 항 패턴 붕괴 처리용 조성물
KR20180033229A (ko) * 2015-07-16 2018-04-02 바스프 에스이 설포에스테르의 암모늄 염을 함유하는 결함 감소 헹굼 용액

Also Published As

Publication number Publication date
US20050233922A1 (en) 2005-10-20
JP2005220350A (ja) 2005-08-18
KR100574349B1 (ko) 2006-04-27

Similar Documents

Publication Publication Date Title
JP2005220350A (ja) 洗浄液組成物及びこれを用いた半導体装置の洗浄方法
US9122164B2 (en) Lithography material and lithography process
KR100786608B1 (ko) 계면활성제를 함유하는 공정액
US7129199B2 (en) Process solutions containing surfactants
JP4564489B2 (ja) レジストパターン形成方法及びリンス液セット
TWI605117B (zh) 光微影用清潔組成物及使用該組成物形成光阻圖案的方法
KR20200071137A (ko) 50 nm 이하의 라인-공간 치수를 갖는 패턴화된 재료를 처리할 때 패턴 붕괴를 회피하기 위한,실록산 유형의 첨가제를 포함하는 조성물의 용도
US20050250054A1 (en) Development of photolithographic masks for semiconductors
US6613499B2 (en) Development method for manufacturing semiconductors
US20230266672A1 (en) Process solution composition for extreme ultraviolet photolithography and pattern forming method using same
US11473035B2 (en) Process solution composition for extreme ultraviolet lithography, and method for forming pattern by using same
US11624984B2 (en) Process liquid composition for extreme ultraviolet lithography and pattern forming method using same
JP7349985B2 (ja) 製品を洗浄するまたはすすぐための、第一の界面活性剤および第二の界面活性剤を含む組成物
TWI718754B (zh) 用於極紫外光微影的製程液體及使用該製程液體的圖案形成方法
KR100634164B1 (ko) 반도체 제조 공정에 사용되는 세정액
TW202104568A (zh) 用於微影的製程液體組成物及使用該組成物的圖案形成方法
CN110955112B (zh) 光阻组成物及形成光阻图案的方法
JP2023504507A (ja) リンス組成物及びそれを使用してフォトレジスト材料の表面を処理するための方法
KR20230055697A (ko) 포토레지스트 세정액 조성물 및 이를 이용한 포토레지스트 패턴 형성 방법
JPH1124285A (ja) レジスト用現像液
KR20040060246A (ko) 포토레지스트 현상액 조성물 및 이를 이용한 반도체소자의 패턴 형성 방법
KR20050066185A (ko) 포토레지스트 세정액 조성물 및 이를 이용한 패턴 형성방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment
FPAY Annual fee payment
LAPS Lapse due to unpaid annual fee