JP2016517538A5 - - Google Patents

Download PDF

Info

Publication number
JP2016517538A5
JP2016517538A5 JP2016500282A JP2016500282A JP2016517538A5 JP 2016517538 A5 JP2016517538 A5 JP 2016517538A5 JP 2016500282 A JP2016500282 A JP 2016500282A JP 2016500282 A JP2016500282 A JP 2016500282A JP 2016517538 A5 JP2016517538 A5 JP 2016517538A5
Authority
JP
Japan
Prior art keywords
layer
block copolymer
photodegradable
functional group
sensitive material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2016500282A
Other languages
English (en)
Other versions
JP2016517538A (ja
Filing date
Publication date
Priority claimed from US13/830,859 external-priority patent/US8980538B2/en
Application filed filed Critical
Publication of JP2016517538A publication Critical patent/JP2016517538A/ja
Publication of JP2016517538A5 publication Critical patent/JP2016517538A5/ja
Pending legal-status Critical Current

Links

Description

光分解性剤を用いることによって自己組織化材料を含む積層基板を形成する方法
本開示は、層構造の物体中にパターンを形成する方法及び当該方法から形成される層構造の物体に関し、より具体的には、誘導自己組織化用途における化学エピタキシー及び光分解性剤の利用に関する。
半導体デバイスの製造におけるコストと性能において競合力を維持する必要性は、集積回路のデバイス密度連続的な増加を引き起こしてきた。半導体集積回路における高い集積及び小型化を達成するためには、半導体ウエハ上に形成される回路パターンの小型化も達成されなければならない。
フォトリソグラフィは、マスク上の幾何学形状とパターンを半導体ウエハの表面に転写することによって半導体集積回路を製造するのに用いられる標準的な方法である。一般的には、放射線感受性組成物−たとえばフォトレジスト−の膜の薄いコーティングが、基板材料−たとえば集積回路の作製に用いられるシリコンウエハ−に付与される。コーティングされた基板は続いて、フォトレジスト組成物中のいずれの溶媒を蒸発させ、かつ、基板上にコーティングを固定するためにベーキングされる。次に基板のベーキング及びコーティングされた表面には、放射線への像を生成するような曝露(image−wise exposure)が施される。放射線曝露によって、コーティングされた表面の曝露領域内で化学変換が起こる。可視光、深紫外(DUV)光、極紫外(EUV)光、電子ビーム、及びX線放射エネルギーは、マイクロリソグラフィプロセスにおいて今日広く用いられている放射線の種類である。この像を生成するような曝露の後、コーティングされた基板は、フォトレジストの放射線曝露された領域又は放射線曝露されていない領域のいずれかを溶解及び除去する現像溶液によって処理される。それにより集積回路の成にさらに利用され得る特徴部位(features)が残される。しかし現在の技術のフォトリソグラフィツールは、最小の特徴部位のサイズを約25nmにまでしかできない。従ってより小さな特徴部位を供するための新しい方法が必要とされている。
ブロック共重合体(BCP)の自己組織化は、従来技術のリソグラフィ方法単独で実現可能な解像度の値よりも良好な値にまで改善する可能のある手段と考えられてきた。ブロック共重合体はナノファブリケーションに有用な化合物である。なぜならブロック共重合体は、ある温度(秩序−無秩序遷移温度TOD)より低い温度で冷却すると、秩序−無秩序遷移を起こし得えて、その結果、数十ナノメートル又はさらには10nm未満の寸法を有する秩序があって化学的に明確に識別されるドメインを生成するように各異なる化学的性質の共重合体ブロックが相分離するからである。ドメインのサイズと形状は、共重合体の各異なるブロックの種類の分子と組成を操作することによって制御され得る。ドメイン間の界面は、1nm〜5nmのオーダーの幅を有し、かつ、共重合体ブロックの化学組成の改質によって処理され得る。
ブロック共重合体は、ブロックの体積割合、各ブロックの種類内部での重合(つまり各対応するブロック内部での各対応する種類のモノマーの数)、溶媒の任意の使用、及び、表面の相互作用に依存して、自己組織化の際に多くの異なる相を生成して良い。薄膜に付与されるとき、幾何学的閉じ込めが、相の数を制限し得るさらなる境界条件を課し得る。一般的には、球状(たとえば立方体)、円筒形状(たとえば正方晶又は六方晶)、及びラメラ(lamellar)相(つまり立方体、六方晶、又はラメラ空間充填対称性を有する自己組織化相)が自己組織化ブロック共重合体の薄膜中で実際に観測され、かつ、観測される相の種類は各異なるポリマーブロックの相対体積割合に依存し得る。自己組織化ポリマー相は、基板に対して平行又は垂直な対称軸を有するよう配向することができ、かつ、ラメラ相と円筒形状の相はリソグラフィ用途にとって興味深い。なぜならラメラ相と円筒形状の相は、それぞれライン・アンド・スペーサのパターンと孔のアレイを生成し、かつ、メインタイプの1つが続いてエッチングされるときに良好なコントラストを供するからである。
ブロック共重合体の自己組織化を表面へガイドもしくは誘導するのに用いられる2つの方法は、化学エピタキシー(chemi−epitaxy)とも呼ばれるグラフオエピタキシー(grapheoepitaxy)及び化学プレパターニングである。グラフエピタキシー法では、ブロック共重合体の自己組織化は、基板のトポロジカルなプレパターニングによってガイドされる。自己整合ブロック共重合体は、パターニングされた基板によって画定されるトレンチ内に各異なるポリマーブロックドメインの隣接するラインを有する平行な線状パターンを成して良い。たとえばブロック共重合体がポリマー鎖内部にAブロックとBブロックを有するブロック共重合体である場合(ここでAは親水性でBは疎水性の性質を有する)、側壁の性質もまた親水性である場合、Aブロックは、トレンチの側壁に隣接して成されるドメインに組み込まれ(assemble)ことができる。解像度は、基板上のプレパターンの間隔をさらに分割するブロック共重合体パターンによって、パターニングされた基板の解像度を上回るように改善され得る。
化学エピタキシーでは、ブロック共重合体ドメインの自己組織化は、基板上の化学パターン(つまり化学テンプレート)によってガイドされる。化学パターンとブロック共重合体鎖内部の共重合体ブロックのうちの少なくとも1つの種類との間での化学親和性は結果として、複数のドメインの種類のうちの一を、基板上の化学パターンの対応する領域上に厳密に置する(本願では「ピニング」とも呼ばれる)ことを可能にする。たとえばブロック共重合体がポリマー鎖内部にAブロックとBブロックを有するブロック共重合体で(ここでAは親水性でBは疎水性の性質を有する)、かつ、化学パターンがAとBの両方にとってニュートラルな領域に隣接する疎水性領域を有する表面を含む場合、Bドメインは選択的に疎水性領域へ向かって集合し、その結果としてニュートラル領域上でAブロックとBブロックの両方の位置合わせを強制的に行う。グラフエピタキシーによる位置合わせ法と同様に、解像度は、基板上のプレパターニングされた特徴部位の間隔をさらに分割するブロック共重合体パターンによって、パターニングされた基板の解像度を超えるように改善され得る(所謂密度又は周波数多重)。しかし化学エピタキシーは線状のプレパターンに限定されない。たとえばプレパターンは、円筒形の相成ブロック共重合体と併用されるパターンとして適したドットの2Dアレイの形態であって良い。グラフエピタキシーと化学エピタキシーはたとえば、ラメラ状又は円筒形の相の自己組織化をガイドするのに用いられ得る。ここで各異なるドメインの種類は、基板表面上に横並びに(side-by-side)配置される。
米国特許第7579278号明細書 米国特許第7723009号明細書 米国特許第6855476号明細書 米国特許出願公開第2002/0192619号明細書 米国特許第7687220号明細書 米国特許第8241822号明細書 米国特許第5344742号明細書
ビーロースキー(Bielawski)他、J. Org. Chem.,2008,73,4602−4607 ルーブナー(Ruebner, A)他、PNAS,第96巻、No.26、1999年、pp.14692−14693
従ってブロック共重合体のグラフエピタキシーと化学エピタキシーによって供される利点を利用するため、新たなリソグラフィパターニング及び誘導自己組織化法が必要とされている。
本発明の実施形態は、自己組織化材料を含む積層基板を形成する方法を供する。当該方法は、
(a)光分解性架橋剤、(b)光塩基発生剤、又は、(c)光分解性塩基から選ばれる少なくとも1つの感光性成分から選ばれる少なくとも1つの感光性成分を含む放射線感受性材料利用するフォトリソグラフィパターニングを実行する工程続いて
ブロック共重合体から誘導された自己組織化材料を含むブロック共重合体パターンを形成する工程、
包含する。
実施形態によると、
基板上に第1材料層を形成する工程及び
前記第1材料層上に放射線感受性材料の層を形成する工程
含む、積層基板を形成する方法が供される。前記放射線感受性材料は、
(a)光分解性架橋剤、(b)光塩基発生剤、若しくは、(c)光分解性塩基から選ばれる少なくとも1つの感光性成分、及び、
架橋ポリマー
又はこれらの組合せ、
を含む。当該方法はさらに、
パターンを有する光によって前記放射線感受性材料層のイメージングを行うことで前記放射線感受性材料層中にパターンを成する工程であって、前記パターンは、前記感光性成分の大部分が分解した第1領域及び前記感光性成分の大部分がそのままである第2領域によって画定される、工程;
前記放射線感受性材料層を架橋反応温度以上の温度に加熱する工程であって、前記第1領域又は前記第2領域のうちの一方における架橋性ポリマーを架橋することで架橋された領域を成すると同時に、前記第1領域又は前記第2領域のうちの他を非架橋領域として残す工程;
前記のイメージングされた層を現像する工程であって、前記非架橋領域を除去する工程;及び、
ブロック共重合体から誘導された自己組織化材料を含むブロック共重合体パターンを形成する工程、
含む
添付図面−本願明細書の一部に含まれ、かつ、本願の明細書の一部を構成する−は、本発明の実施形態を表し、かつ、上で与えられた本発明の一般的な説明及び以降で与えられる詳細な説明と共に、本発明を説明する役割を果たす。
本発明の実施形態による、感光性成分を利用して自己組織化材料を含む積層基板を形成する方法を表すフローチャートである。 本発明の実施形態による、光分解性架橋剤を利用して自己組織化材料を含む積層基板を形成する方法を表すフローチャートである。 3A−3Fは、図2で表された方法による、ポジ型リソグラフィパターニング及び誘導自己組織化法を表している。 本発明の他の実施形態による、光塩基発生剤を利用して自己組織化材料を含む積層基板を形成する方法を表すフローチャートである。 5A−5Fは、図4で表された方法による、ポジ型リソグラフィパターニング及び誘導自己組織化法を表している。 本発明の他の実施形態による、光分解性塩基を利用して自己組織化材料を含む積層基板を形成する方法を表すフローチャートである。 7A−7Fは、図6で表された方法による、ネガ型リソグラフィパターニング及び誘導自己組織化法を表している。
自己組織化材料を含む積層基板を形成する材料及び方法が様々な実施形態において開示されている。しかし当業者は、様々な実施形態が、1つ以上の具体的詳細が与えられなくても、あるいは、他の置換び/又は追加の方法、材料、若しくは成分と共に、実施可能であることを理解する。他の場合では、本発明の様々な実施形態の態様が曖昧になることを回避するため、周知の構造、材料、若しくは動作は詳細に図示又は記載されていない。
同様に、本発明の完全な理解を供するため、説明目的で具体的数値、材料、及び構成が与えられている。それでも本発明は具体的な詳細が与えられなくても実施可能である。さらに図中に示されている様々な実施形態は、例示であり、必ずしも正しい縮尺で描かれていないことに留意して欲しい。図を参照する際、全ての図を通して、同様の番号は同様の部材を指し示す。
本願明細書において「一の実施形態」若しくは「実施形態」又はそれらの変化型とあるのは、実施形態と関連して記載される特定の特徴部位、構造、材料、又は特性が、本発明の少なくとも1つの実施形態に含まれることを意味するのであって、それらがどの実施形態にも存在することを意味しない。よって様々な場所において「一の実施形態では」又は「実施形態では」という語句が現れるが、これは必ずしも本発明の同一の実施形態を指称しているわけではない。さらに特定の特徴部位、構造、材料、又は特性は1つ以上の実施形態において適切な方法で結合されて良い。様々なさらなる層及び/又は構造が含まれて良いし、かつ/あるいは、記載された特徴他の実施形態において省略されても良い。
さらに、「a」または「an」は、他に明示的に述べられていない限り、「1つまたは複数」を意味することができることを理解されたい。
様々な操作が、複数の別個の操作として、つまり本発明を理解する上で最も助けになるように記載される。しかし記載順序は、これらの操作が必ず順序に依存することを示唆するように構成されてはならない。特にこれらの操作は、提示順序で実行される必要はない。記載された操作は、記載された実施形態とは異なる順序で実行されても良い。様々なさらなる操作が実行されて良く、かつ/あるいは、記載された操作はさらなる実施形態において省略されて良い。
本願で用いられているように、「放射線感受性材料」は、たとえばフォトレジストのような感光性材料を意味し、かつ、含む。
本願で用いられているように、「ポリマーブロック」は、単一種類(つまりホモポリマーブロック)又は多種類(つまり共重合体ブロック)の構成ユニットのうちの多数のモノマーユニットを、層分離を起こすのに十分な異なる(unlike)モノマーの種類からなる他のポリマーブロックと共に、はるかに長い大きなポリマーの一部を構成し、かつ、χN値を示す、ある長さの連続ポリマー鎖の群にまとめることを意味し、かつ、含む。χはフローリー・ハギンズ相互作用パラメータである。Nはブロック共重合体の合計重合度である。本発明の実施形態によると、大きな共重合体中での少なくとも1つの他のポリマーブロックとを有する、1つのポリマーブロックのχN値は約10.5以上であって良い。
本願で用いられているように、「ブロック共重合体」という用語は、複数の鎖で構成されるポリマーを意味し、かつ、含む。ここで、各鎖は上で定義した2つ以上のポリマーブロックを含み、かつ、ブロックの少なくとも2つは、相分離に対してこれらのブロックの場合、十分な偏析強度(例えば、 χ N>10.5)のものである。本願では、ブロック共重合体(つまり2つのポリマーブロック(AB)を含むポリマー)、トリブロック共重合体(つまり3つのポリマーブロック(ABA又はABC)を含むポリマー)、マルチブロック共重合体(つまり4つ以上のポリマーブロック(ABCD等)を含むポリマー)、及びこれらの組合せを含む広範な種類のブロックポリマーが考えられる。
本願で用いられているように、「基板」という用語は、その上に材料が形成されるベース材料又は構成物を意味し、かつ、含む。基板は、単一材料、複数の各異なる材料層、層中に各異なる材料の又は異なる構造の領域を有する1つ又は複数の層、等を含んで良いことに留意して欲しい。これらの材料は、半導体、絶縁体、導体、又はこれらの組合せを含んで良い。たとえば基板は、半導体基板、支持構造上のベース半導体層、金属電極、又は、その上に形成される1層以上の層、構造、若しくは領域を有する半導体基板であって良い。基板は、従来のシリコン基板、又は、半導体材料層を含む他のバルク基板であって良い。本願で用いられているように、「バルク基板」という用語は、シリコンウエハだけではなく、シリコン・オン・インシュレータ(SOI)基板−たとえばシリコン・オン・サファイア(SOS)基板及びシリコン・オン・ガラス(SOG)基板−、ベース半導体基礎上のシリコンのエピタキシャル層、及び、他の半導体又は光電子材料−たとえばシリコン−ゲルマニウム、ゲルマニウム、ガリウムヒ素、窒化ガリウム、及びインジウム燐−を意味し、かつ、含む。基板はドーピングされても良いし、あるいは、ドーピングされていなくても良い。
本願で用いられているように、「ミクロ相偏析」及び「ミクロ相分離」という用語は、ブロック共重合体の同種ブロックが相互に凝集し、かつ、異種ブロックが別個のドメインに分離する特性を意味し、かつ、含む。バルク中では、ブロック共重合体は、球状、円筒形状、ラメラ状、共連続ジャイロイド、又はミクトアーム星形ミクロドメインを有し、秩序を有するモフォロジーへ自己組織化し得る。ここで、ブロック共重合体の分子は、成されたミクロドメインのサイズを支配する。
自己組織化ブロック共重合体のモフォロジーのドメインサイズ又はピッチ周期(L)は、パターニングされた構造の限界寸法を設計するための基礎として用いられて良い。同様に、ブロック共重合体のポリマーブロックのうちの1つを選択的エッチングで除去した後に残る特徴部位の寸法である構造周期(L)は、パターニングされた構造の限界寸法を設計するための基礎として用いられて良い。ブロック共重合体を構成するポリマーブロックの各々の長さは、それらのブロック共重合体のポリマーブロックによって成されるドメインのサイズに対する本質的な制限となり得る。たとえばポリマーブロックの各々は、所望のドメインのパターンへの自己組織化を促進する長さを有するように選ばれて良く、かつ、より短い及び/又はより長い共重合体は、所望どおりに自己組織化しなくて良い。
本願で用いられているように「アニーリング」又は「アニール」という用語は、ブロック共重合体の2つ以上の異なるポリマーブロック成分間での十分なミクロ相偏析が、ポリマーブロックから成される構造ユニットを繰り返すことによって画定される秩序を有するパターンを生成することを可能にするような、ブロック共重合体の処理を意味し、かつ、含む。本発明におけるブロック共重合体のアニーリングは、当技術分野において知られた様々な方法によって達成され得る。当技術分野において知られた様々な方法には、(真空中又は窒素若しくはアルゴンなどの不活性環境中での)熱アニーリング、(室温以上での)溶媒蒸気アシストアニーリング、超臨界流体アシストアニーリング、又は、吸収に基づくアニーリング(たとえば光ベーキング)が含まれるが、これらに限定されない。具体例として、ブロック共重合体の熱アニーリングは、以降で詳述するように、ガラス転移温度(T)よりも高いがブロック共重合体の分解温度(T)よりも低い、昇温状態(elevated temperature)にブロック共重合体を曝露することによって実行されて良い。本願明細書に記載されていない他の従来のアニーリング方法が利用されても良い。
ブロック共重合体が自己組織化する機能は、マスクパターンを形成するのに用いられて良い。ブロック共重合体は2つ以上の化学的に明確に識別されるブロックで構成される。たとえば各ブロックは異なるモノマーで構成されて良い。複数のブロックは非混和性又は熱力学的に相性が良くない。たとえば一のブロックは極性で、かつ、他のブロックは無極性であることが考えられる。熱力学的効果により、共重合体は、系のエネルギーを全体として最小にするように溶液中で自己組織化する。典型的には、これにより、共重合体は、たとえば同様のブロックが一つになるように凝集するように互いに運動し、各ブロックタイプ又は化学種(species)を含有する交互領域が形成される。たとえば共重合体が極性ブロック(たとえば有機金属含有ポリマー)と無極性ブロック(たとえば炭化水素ポリマー)で構成される場合、ブロックは、無極性ブロックが他の無極性ブロックと凝集し、かつ、極性ブロックが他の極性ブロックと凝集するように偏析することになる。ブロック共重合体は自己組織化材料として記載され得ることに留意して欲しい。なぜならブロックは、特定の個々の分子の運動を誘導するのに外力を能動的に印加することなくパターンを成するように運動できるからである。とはいえ、全体としての分子の集団の運動速度を増大させるのに、熱が印加されて良い。
ポリマーブロック種間での相互作用に加えて、ブロック共重合体の自己組織化は、トポグラフィカルなフィーチャ−たとえばブロック共重合体が堆積される水平面から垂直に延びるステップ又はガイド−による影響を受け得る。たとえばブロック共重合体、2つの異なるポリマーブロック種で構成される共重合体は、各々が実質的に異なるポリマーブロック種で構成される交互ドメイン又は領域を成し得る。ポリマーブロック種の自己組織化が、ステップ又はガイドの垂直壁間の領域内で起こるとき、そのステップ又はガイドはポリマーブロックと相互作用し得る。その相互作用の結果、たとえばブロックによって成される交互領域の各々は、壁及び水平面に対して概ね平行に配向される特徴部位を有する規則的に離間するパターンを成するように作られる。
係る自己組織化は、半導体製造プロセス中に特徴部位をパターニングするマスクを生成するのに有用となり得る。たとえば交互ドメインのうちの一方は除去されて良い。それによりマスクとして機能するためのの領域を成する材料が残される。マスクは、下地の半導体基板内に特徴部位−たとえば電気デバイス−をパターニングするのに用いられて良い。ブロック共重合体マスクを形成する方法は、特許文献1と2に開示されている。これらの内容のすべては本願に援用される。
本発明の実施形態により、かつ、図1を参照すると、自己組織化材料を含む積層基板を形成する方法100が供される。当該方法100は、
110において基板上に第1の材料を形成する工程、
120において前記第1の材料層の上に放射線感受性材料層を形成する工程、
130においてパターンを有する光によって前記放射線感受性材料層のイメージングを行うことで前記放射線感受性材料層中にパターンを成する工程、
140において前記放射線感受性材料層を架橋反応温度以上の温度に加熱する工程、
150において前記のイメージングされた層を現像する工程、及び、
160において自己組織化材料を含むブロック共重合体パターンを形成する工程
含む。放射線感受性材料
(a)光分解性架橋剤、(b)光塩基発生剤、又は、(c)光分解性塩基から選ばれる少なくとも1つの感光性成分、及び、
架橋ポリマー
を含む。ここで、パターンを有する光によるイメージングを行う工程は、感光性成分のかなりの部分がそのままである領域によって取り囲まれる感光性成分のかなりの部分が分解した第1領域によって画定されるパターンを供する。
(a)光分解性架橋剤
実施形態によると、感光性成分は光分解性架橋剤である。これはフォトリソグラフィ用途での利用に適している。光分解性架橋剤は、光分解性官能基と共有結合する複数の第1官能基(FG’)を含む。他の実施形態によると、光分解性架橋剤、及び、複数の第2官能基(FG’’)を含む架橋ポリマーを含む放射線感受性材料が供される。ここで、架橋反応温度以上の温度に加熱すると、第2官能基(FG’’)は第1官能基(FG’)と反応することで、架橋したポリマーを提供する。
従って図2に図示されているように、自己組織化材料を含む積層基板を形成する方法200が供される。当該方法200は、
210において基板上に第1材料層を形成する工程、
220において光分解性架橋剤を含む放射線感受性材料層を形成する工程、
230において前記放射線感受性材料層のイメージングを行う工程、
240において前記放射線感受性材料層を架橋反応温度以上の温度に加熱する工程、
250において前記のイメージングされた層をポジ型現像する工程、及び、
260において自己組織化材料を含むブロック共重合体パターンを形成する工程、
含む。光分解性架橋剤を含むことに加えて、放射線感受性材料は架橋性ポリマーをさらに含む。この実施形態によると、パターンを有する光による放射線感受性のイメージング工程は、光分解性架橋剤のかなりの部分がそのままである領域によって取り囲まれる分解性架橋剤のかなりの部分が分解した第1領域によって画定されるパターンを供する。方法200は図3A−図3Fで概略的に例示されている。
図3Aを参照して、本発明の実施形態によると、積層基板300は、その上に形成される材料層320を有する基板310を含む。基板310は、半導体−たとえば単結晶シリコン、ゲルマニウム、及び他の任意の半導体−を含んで良い。代替実施形態では、基板310は、集積回路、受動的マイクロエレクトロニクス素子(たとえばキャパシタ、インダクタ)、及び能動的マイクロエレクトロニクス素子(たとえばトランジスタ、光検出器、レーザー、ダイオード)の製造に用いられる任意の材料を含んで良い。基板310は、そのような能動的マイクロエレクトロニクス素子及び受動的マイクロエレクトロニクス素子を、それらの上に形成される(複数の)伝導層から分離する絶縁材料を含んで良い。一実施形態では、基板310は、1層以上の絶縁層−たとえば二酸化シリコン、シリコン窒化物、サファイア、及び他の絶縁材料−を包含するp型単結晶シリコン基板を含む。
上述したように、積層基板300の基板310は、ベース層と材料層320との間に設けられた1層以上の薄膜又は層を有する膜積層体(film stack)を含んで良い。基板310内の各薄膜は、伝導層、非伝導層、又は半導体層を含んで良い。たとえば薄膜は、金属、金属酸化物、金属窒化物、金属酸窒化物、金属シリケート、金属シリサイド、シリコン、多結晶シリコン(ポリシリコン)、ドーピングされたシリコン、二酸化シリコン、シリコン窒化物、シリコンカーバイド、シリコン酸窒化物等を含む材料層を包含して良い。それに加えてたとえば、薄膜は、SiOの誘電率−これは略4(たとえば熱二酸化シリコンの誘電率は3.8〜3.9の範囲であり得る)である−未満の公称誘電率を有する低誘電率(つまりlow−k)又は超低誘電率(つまり超low−k)誘電層を含んで良い。より具体的には、薄膜は3.7未満の誘電率又は1.6〜3.7の範囲の誘電率を有して良い。
本発明の実施形態によると、材料層320は、ブロック共重合体の少なくとも1つのポリマーブロックについて化学親和性を有して良い。化学親和性とは、本願明細書では、2つの異なる化学種が一緒に関連する傾向を意味する。たとえば性質上親水性である化学種は水に対して高い化学親和性を有する一方で、疎水性化合物は、水に対しては低い化学親和性を有するが、アルカンに対しては高い化学親和性を有する。性質上極性である化学種は、他の極性化合物に対して、及び水に対して高い化学親和性を有する一方で、非極性、無極性、又は疎水性化合物は、水と極性種に対して低い化学親和性を有するが、他の無極性種−たとえばアルカン等−に対しては高い化学親和性を示し得る。化学親和性は、2つの化学種間の界面に係る自由エネルギーに関連づけられる。即ち、界面自由エネルギーが高い場合、2つの化学種は互いに低い化学親和性を有する一方で、界面自由エネルギーが低い場合には、2つの化学種は互いに高い化学親和性を有する。たとえばジブロック共重合体の場合では、ブロック共重合体分子を構成する2つの異なるポリマーブロックは、それぞれ異なる化学親和性を有する2つの異なる化学とみなされる。従って、材料層320中の化学種は、ポリマーブロックのうちの1つ同一、又は(実質的に)類似であって良いので、従って、そのポリマーブロックのうちの1つに対して高い親和性を有する。実施形態によると、材料層320は、後続の層の形成前に架橋され得る架橋ポリマーを含んで良い。
さらに図3Aを参照すると、放射線感受性材料の層330が材料層320上に形成される。本発明の実施形態によると、放射線感受性材料は、光分解性官能基を介して互いに共有結合する複数の第1官能基(FG’)を含む光分解性架橋剤、及び、複数の第2官能基(FG’’)を含む架橋ポリマーを有する。架橋反応温度以上の温度に加熱すると、第2官能基(FG’’)は第1官能基(FG’)と反応することで、架橋したポリマーを生成する。
本発明の実施形態によると、光分解性架橋剤は一般式(I)によって表される。
(I) FG’−L−Q(−L−FG’)
式中、FG’は、第1級アミン、第2級アミン、ヒドロキシル、アミド、エステル、エポキシ、エピスルフィド、及び無水物からなる群から選ばれる第1官能基を表し;
とLは、第1官能基(FG’)とQとを共有結合させる結合基であり;
Qは光分解性官能基であり;且つ、
mは1〜3の整数である。
この実施形態によると、光分解性官能基Qは、架橋反応温度で熱的に安定だが、放射線感受性材料層330のイメージングに用いられるパターンを有する電磁(EM)放射線340の波長に曝露されると分解する。適切な光分解性官能基の非限定的例は、ヨードニウム又はオキシムスルホン酸エステル(sulfonates, スルホナート)を含む一般的な(common)光酸発生剤中で光分解によって裂するそれらの官能基を含む。他の適切な光分解性官能基は、スルホニウム、N−ヒドロキシイミドスルホン酸エステル(sulfonates)、ニトロ安息香酸エステル、ニトロ安息香酸スルホン酸エステル、又は、特許文献3に開示されている他の官能基を含むが、これらに限定されない。電子の多いオレフィン−たとえば化物部分の置換である、1,2−置換エチレン基−もまた適切な光分解性官能基である。
したがって、光分解性官能基の光開裂の際に、複数の第1の官能基(FG’)を接続する共有結合が切断される。
実施形態によると、光分解性官能基Qは、たとえばヨードニウム光酸発生剤(たとえばビス(4−t−ブチルフェニル)ヨードニウムトリフラート)の分類で一般的に見いだされるようなヨードニウム部分である。ードニウム部分を含有する光分解性架橋剤は、一般式(II)によって表される。
(II) FG’−L−I−L−FG’A
ここでFG’は上で定義され、
とLは各FG’とヨードニウム基(I)とを共有結合する置換又は非置換アリールを含む結合基で、かつ、
Aは複合金属ハライド又は強プロトン酸のアニオンである。
この実施形態の態様によると、LとLは、同一であっても良いしあるは異なっても良く、かつ、オルト、メタ、パラで置換されるアリールから独立に選ばれて良い。アリール基と第1官能基(FG’)とを結合する置換基は、炭素、酸素、窒素、硫黄、及び/又はシリコン原子を介してアリール基と直接結合されて良い。典型的な置換は、1〜6の炭素原子を有する置換若しくは非置換アルキル基(たとえばエチル、イソプロピル、n−プロピル、n−ブチル、t−ブチル、又はt−ペンチル)、2〜6の炭素原子を有するアルコキシ(たとえばエトキシ、プロポキシ、又はブトキシ)、NH、2〜6の炭素原子を有するアルキルアミン(エチルアミン、プロピルアミン、又はブチルアミン)、ポリエチレングリコール鎖、硫アルキル、アルキルスルホオキシド、アルキルスルホン、シロキサン鎖、又はこれらの組合せを含むが、これらに限定されない。
この実施形態の態様によると、アニオン(A)は、一般的には[MXとして表される複合金属ハライドのアニオンであって良い。式中
Mは金属−たとえばホウ素、ガリウム、ヒ素、リン、及びアンチモン−であり
mは4又は6であり、かつ、
Xはハロゲンである。
例には、[BF、[PF、[AsF、及び、[SbFが含まれるが、これらに限定されない。あるいはMがホウ素又はガリウムであり、かつ、mが4である場合、XはC であり得る(つまり、[B(C又は[Ga(C 。Aは代わりに強プロトン酸からのアニオン−たとえば[ClO、[CFSO、[FSO、[CHSO、又は、[CSOあっても良い。
式(II)の光分解性架橋剤は、たとえば非特許文献1又は特許文献4に従って合成され得る。第1官能基として2つのヒドロキシル基を有する1つの典型的なヨードニウム光分解性架橋剤は、ビス(4−(2−ヒドロキシトキシ)フェニルヨードニウムテトラフルオロボレート(1)である。この物質は、4−(2−ヒドロキシエトキシ)ヨードベンゼンと4−(2−ヒドロキシトキシ)フェニルボロン酸のメタクロロ過安息香酸/三フッ化ホウ素エーテラートを媒介カップリングスキーム1)によって合成され得る。
スキーム
Figure 2016517538
他の実施形態では、光分解性官能基Qは、スルホン酸化したオキシム基であって、例えばオキシムスルホナート基−たとえば非イオン性オキシムスルホナート光酸発生剤の分類中で一般的に見つけられるようなものである(例えば、CIBA(登録商標) IRGACURE(登録商標)263)。スルホン酸化したオキシム基を含む光分解性架橋剤は式(III)によって表される。
(III) [FG’−L−SO−O−N=CR−Y
ここで、FG’は上で定義され、
はFG’とスルホニル(SO)基とを共有結合する結合基であり
は、置換若しくは非置換アルキル、ハロアルキル、シクロアルキル、ヘテロ環、アリール、ヘテロアリール、又はアルカリールであり
nは複数の第1官能基(FG)を供するため2以上の整数であり、及び、
Yは複数の第1官能基同士を共有結合させる炭素含有接続部である。
式(III)の光分解性架橋剤は、たとえば特許文献5,6に記載された一般的な手法に従って合成され得る。その第1官能基として2つのヒドロキシルを有する1つの典型的なオキシムスルホネート光分解性架橋剤は以下の化合物(2)である。
Figure 2016517538
この物質は、その対応するビス(トリフルオロメチルフェニルケトン)から誘導されるビス−オキシム化ジケトン化合物のヒドロキシル護され塩化スルホニル、P−O−CHCHCHSOClによるスルホン化によって合成され得る。続いて保護基(P)が除去されることで、ジオール含有光分解性架橋剤(2)が供される。
同様のヒドロキシル護された塩化スルホニル化合物もまた、式(IV)で表されるN−ヒドロキシイミドスルホネート光分解性架橋剤の調製に適していることに理解されたい
Figure 2016517538
ここで、FG’とLは上で定義した通りであり
とRは同一であってもそれぞれ異なっても良いし、又は、とRのうちの少なくとも一方が第2FG’’を含む限り、組み合わせて1つの環を成しても良い。たとえばRとRは同一の炭素含有部分であってもそれぞれ異なる炭素含有部分であっても良いし、又は、組み合わせて炭素含有環を成しても良い。ただしR、R のうちの少なくとも1つ、又は炭素含有環少なくとも1つのFG’置換される。
ヒドロキシル護された塩化スルホニルP−O−CHCHCHSOClを用いて適切に官能化及び/又は保護されたN−ヒドロキシイミドのスルホン化は、その後に(複数の)保護基を除去することによって、上の一般式(IV)で表されるN−ヒドロキシルイミドスルホン酸エステル(sulfonates)の光分解性架橋剤を供する。
他の実施形態では、光分解性架橋剤の光分解性官能基は、カルボン酸エステル又はスルホン酸エステルを含むニトロ安息香酸ステルである。ニトロ安息香酸ステル基を含む光分解性架橋剤は一般式(V)によって表されて良い。
Figure 2016517538
ここで、FG’は上で定義した通りであり
Wはカルボニル(C=O)基又はスルホニル(SO)基から選ばれ、
はFG’とWとを共有結合する結合基であり、且つ
、R、R、R、及びRH;ハライド;置換又は非置換アルキル、シクロアルキル、アリール、アルカリール基、又はエーテル基;ハロアルキル;ヘテロ環;ヘテロアリール;アルコキシル;又はこれらの組合せから独立に選ばれ、但し、、R、R、R、及びRのうちの少なくとも1つは、第1級アミン、第2級アミン、ヒドロキシルアミド、エステル、エポキシ、エピスルフィド、及び無水物から選ばれる官能基を含むものとする。
式(V)の光分解性架橋剤は、特許文献7及び他に挙げた特許文献及び非特許文献に記載された一般的手法に従って合成されて良い。一般的には、有機溶媒−たとえばアセトン又はジメチルホルムアミド−中の有機アミン又は他の適切な塩基の存在中に実行される、ベンジルアルコールと適切に置換された酸の塩化物(ClOC−L−FG’)又は塩化スルホニル(ClOS−L−FG’)との反応は、意図したニトルベンジルエステルを供する。
他の実施形態では、光分解性架橋剤の光分解性官能基は1,2−ジスルフィジルエチレン(1,2−disulfidylethylene)基である。1,2−ジスルフィジルエチレン基を含む光分解性架橋剤は一般式(VI)によって表されて良い。
(VI) FG’−L−S−CR=CR10−S−L−FG’
式中、FG’は、第1級アミン、第2級アミン、ヒドロキシル、アミド、エステル、エポキシ、エピスルフィド、及び無水物からなる群から選ばれる第1官能基を表し、
とLはFG’と硫黄とを共有結合する結合基であり、且つ、
とR10は、H又は置換若しくは非置換炭素含有鎖及び環から独立に選ばれる。
実施形態によると、典型的なビスアミン終端光分解性架橋剤NCHCHSCHCHSCHCHNHは、非特許文献2に開示されているように、シスタミンをそのN−t−ブトキシカルボニル誘導体に変換し、続いてアンモニア中でジスルフィド結合をナトリウムによって還元し、その後、ビス保護されたジスルフィジルエチレン化合物を生成するためチオール酸(thiolate)とシス1,2−ジクロロエチレンとの反応によって、合成されて良い。N−t−ブトキシカルボニル保護基の酸を触媒とする脱保護は、期待されたビスアミン終端光分解性架橋剤NCHCHSCHCHSCHCHNHを供する。
上述した典型的な光分解性架橋剤は、架橋可能ポリマーの第2官能基(FG’’)に対して相補的な他の官能基を含むように適合されて良いことを理解されたい。従って放射線感受性材料の架橋ポリマー成分は、任意の特定種類のポリマーに特に限定されず、所望の架橋反応において第1官能基(FG’)に対して相補的な複数の第2官能基(FG’’)を有するものとする。たとえばヒドロキシル含有架橋剤により媒架橋を受けるエポキシ基を有するポリマーは適切な架橋ポリマーである。放射線感受性材料は、他の成分、例えば酸、光酸発生剤、塩基、光塩基発生剤、増感剤、をさらに含み、かつ、溶液又は分散体として液体中に配合されて(formulated)良い。
図3Aに図示されているように、放射線感受性材料層330を第1材料層320へ適用した後、放射線感受性材料層330は、たとえばマスク(図示されていない)によって供され得るパターンを有する電磁(EM)放射線340に曝露されて良い。典型的なマスクは、放射線が放射線感受性材料層330へ透過するのを防止する不透明領域、及び、放射線を放射線感受性材料層330へ透過させる透明領域を含む。マスクは、約365nm〜約6.5nmの範囲の波長を含む湿式(たとえば浸漬)リソグラフィ又は乾式リソグラフィでの使用に適した任意のマスクを含んで良い。マスクはバイナリマスク又はガラス上にクロムが存在するマスクを含んで良い。あるいはマスクは、交互相シフトマスク又は埋め込み位相シフトマスクを含んで良い。
パターンを有するEM放射線340への放射線感受性材料層330の曝露は乾式又は湿式フォトリソグラフィシステム内で実行されて良い。リソグラフィシステムは、たとえば波長が365nm、248nm、193nm、157nm、13nm、及び6.5nmのEM放射線のパターンを供する機能を有して良い。本発明の実施形態によると、EM放射線の波長は、放射線感受性材料の感光性成分を分解/劣化させるのに必要な波長に対応するように選ばれる。本発明の他の実施形態によると、放射線感受性材料の感光性成分は、利用可能なデバイスによって供されるEM放射線の波長が感光性成分を分解/劣化させるのに十分となるように設計される。画像パターンは、任意の適切な従来のステッピングリソグラフィシステム又は走査リソグラフィシステムを用いることによって成されて良い。たとえばフォトリソグラフィシステムは、ASMLオランダ(DeRun 6501, 5504 DR Veldhove, The Nederlands)又はキャノンUSA社, Semiconductor Equipment Devision(3300 North First Street, San Jose, CA 95134)から市販されているものであって良い。マスクはたとえば、法線入射光及び軸外し照射光−たとえば環状照射、四重極照射(quadrupole illumination)、及び双極照射(dipole illumination)−によって照射されて良い。放射線感受性材料層330への照射及びマスクを用いた放射線への曝露を行うこれらの方法は、マイクロエレクトロニクスデバイスの製造に関する当業者には既知である。図3Bに図示されているように、パターンを有する放射線340へ放射線感受性材料層330を曝露する工程はイメージングされた層330’を供する。イメージングされた層330’は、分解性架橋剤のかなりの部分がそのままであるイメージングされていない領域333によって取り囲まれた光分解性架橋剤のかなりの部分が分解した、イメージングされた領域345によって画定されるパターンを有する。
図3Cを参照すると、架橋温度以上の温度に積層基板301を加熱することによってイメージングされた層330’を熱処理する工程は、放射線感受性材料の架橋した部分360を提供するため、イメージングされていない領域333内のそのままの(intact)光分解性架橋剤による架橋ポリマーの架橋を引き起こすと同時に、イメージングされた領域345は非架橋領域350を成する。非架橋領域350は、光分解性架橋剤が分解したために架橋されない。たとえば積層基板300の温度は、約30秒〜約180秒の期間中に約50℃〜約200℃の架橋反応温度にまで昇温されて良い。基板を加熱及び冷却する装置を有するトラックシステム−たとえば上述したトラックシステムのうちの1つ−は、熱処理を実行するのに用いられて良い。基板上の曝露された放射線感受性材料膜を熱処理する他のシステム及び方法は当業者には周知である。
光分解性架橋剤の固有な性質に基づいて、放射線感受性材料層330は、塗布後ベーキング(PAB)において熱処理され、かつ、イメージング前に架橋されて良いことに留意して欲しい。この代替実施形態(図示されていない)では、積層基板300は、パターンを有するEM放射線340へ放射線感受性材料を曝露する前に架橋温度以上の温度に加熱されることで、単一の架橋された層が供される。しかしパターンを有するEM放射線340への曝露の際、光分解性官能基の分解、イメージングされた領域内のポリマー間での架橋が切断し、それにより上述の方法とは相補的な方法を提供する。
本願で用いられているように、ポジ型現像化学物質(chemistry)とは、高い放射線曝露を有する非架橋領域350を選択的に除去する溶媒系を意味する。一般的なポジ型現像溶媒系は、塩基−たとえばアルカリ、アミン等−をする。一形態では、イメージングされた領域350を選択的に除去するポジ型現像化学物質は、水酸化テトラメチルアンモニウム(TMAH)をする。他の実施形態では、非架橋領域を選択的に除去するポジ型現像化学物質は、塩基、水、及び任意の界面活性剤を包含する

図3C及び図3Dを参照すると、非架橋領域350を有する積層基板300は、特徴部位370を供するため、ポジ型現像化学物質中で可溶な非架橋領域350を除去するため、ポジ型現像化学物質を含有する現像溶液に接触させる。それにより下地の第1材料層320が曝露される。その後、積層基板303は乾燥される。現像プロセスは、所定期間(たとえば約30秒〜約180秒)、所定温度(たとえば室温)、及び所定圧力(たとえば大気圧)で実行されて良い。現像プロセスは、現像システム−たとえば上述したトラックシステムのようなトラックシステム−内で現像溶液に基板を曝露する工程を包含して良い。
図3Eを参照すると、次にブロック共重合体の層380が適用され、かつ、ブロック共重合体380は、曝露された第1材料層320及び放射線感受性材料の架橋部分360の上方スクパターンを成するため、自己組織化させる。ブロック共重合体は、互いに対して選択的にエッチングされ得る少なくとも2つのポリマーブロックを有する。つまりブロック共重合体は、第1セットのエッチング条件下で2よりも大きなエッチング選択性を有する。さらにブロック共重合体は、所望の予測可能な方法で自己組織化し得る。たとえばポリマーブロックは非混和性であり、かつ、一ブロック種を主に含むドメインを成するため、適切な条件下で偏析する
ブロック共重合体は様々な方法−たとえばスピンオンコーティング、スピンキャスト、ブラシコーティング、又は気相蒸着−で堆積されて良い。たとえばブロック共重合体は、キャリア溶媒−たとえば有機溶媒(たとえばトルエン)−中の溶液として供されて良い。ブロック共重合体の溶液は、図3Dに示された積層構造に適用されて良い。続いてキャリア溶媒は、ブロック共重合体層380を供するように除去されて良い。
本発明は理論に束縛されないが、各異なるブロック種は、材料の相分離と同様のプロセスにおける熱力学的見地によって自己凝集すると解されることに留意して欲しい。自己組織化は、特徴部位370の物理的界面、並びに下地の第1材料層320の化学種とブロック共重合体鎖内のポリマーブロックのうちの少なくとも1つとの間化学的親和性により誘導される。従ってブロック共重合体の構成ブロックは、界面相互作用と化学親和性によって放射線感受性材料架橋部分360の長さに沿って自己配向し得る。
続いて図3Eと図3Fを参照すると、ブロック共重合体層380はアニーリング条件に曝露される。それにより放射線感受性材料の離間した架橋部分360間で横並びに整列した複数の交互ドメイン390、395となるまで、ブロック共重合体の自己組織化を促進する。図3Fに図示されたこの典型的実施形態では、自己組織化ブロックポリマー380’はドメイン390,395を有する。ドメイン390,395は、第1材料層320がドメイン395を含むポリマーブロックに対する化学親和性を有するように配列される。従ってブロック共重合体のリマーブロックのつと第1材料層320との間化学親和性は、ドメイン395を特徴部位370へピン留めするように機能する。逆に化学親和性が、放射線感受性材料の架橋部分360とブロック共重合体のポリマーブロックとの間でニュートラルである場合、ドメイン390と395いずれも、このニュートラルな表面にわたって自己組織化し得る。これは周波数多重化(frequency multiplication)有利に提供する。図3Fに図示された実施形態では、3倍の(3X)周波数多重化が示されている。1倍〜10倍の範囲の他の周波数多重化が得られても良いことを理解すべきである。1倍周波数多重化の場合、ニュートラル層は、ドメイン390を含むブロック化学的に引きつけられ得るので、組織化(assembly)ための化学駆動力をさらに増大させ得る。
ピン留め領域の寸法(たとえばこの実施形態では特徴部位370の寸法)は、自己組織化ブロック共重合体モルフォロジーのLと関連するように設計されて良いことに留意して欲しい。ピン留め領域が約L/2である場合、そのピン留め領域は、ブロック共重合体の複数のブロックのうちの1つのサイズと実効的に一致する。約3L/2のピン留め領域もまた、ブロック共重合体のロックのうちの1つをピン留めするように実効的に機能する。従って本発明の一の態様によると、当該方法は、約0.30L〜約0.9L 又は約1.25L〜約1.6Lの範囲内の寸法を有する特徴部位を準備する工程をも有して良い。
自己組織化は、図3Eに図示されている積層構造304をアニールすることによって促進及び加速されて良い。アニーリングプロセスの温度は、ブロック共重合体又は積層構造に悪影響を及ぼすのを防止するのに十分な程度に低く選ばれて良い。一部の実施形態では、アニーリングは、約350℃未満、約300℃未満、約250℃未満、約200℃未満、又は約180℃未満の温度で実行されて良い。他の実施形態によると、アニーリングプロセスは、概してアニーリング温度を低下させる溶媒アニーリングを包含し得る伝統的な溶媒アニーリング方法、並びに新たな方法−たとえば“NOVEL SOLVENT ANNEAL PROCESSING FOR DIRECTED−SELF ASSEMBLY APPLICATIONS”と題された米国特許出願(代理人参照番号CT−107)に開示されている方法(この全内容は本願に援用される)−用いられて良い。
一の態様によると、ブロック共重合体の有機ポリマーブロックを酸化又は燃焼させることなく迅速なアニーリング時間を促進するため、アニーリングは、約1時間未満のアニーリング時間中に約250℃よりも高いアニーリング温度にて低酸素雰囲気で実行されて良い。本願で用いられているように、低酸素雰囲気は約50ppm未満の酸素を含む。たとえば低酸素雰囲気は、約45ppm未満、約40ppm未満、約35ppm未満、約30ppm未満、約25ppm未満、約20ppm未満、又はこれらの間の範囲を含んで良い。それに加えて低酸素雰囲気アニーリング法は熱クエンチング法が伴って良い。典型的な低酸素雰囲気及び熱クエンチングアニーリング法は、MULTI−STEP BAKE APPARATUS AND METHOD FOR DIRECTED SELF−ASSEMBLY LITHOGRAPHY CONTROLと題された米国特許出願(代理人参照番号CT−106)で開示されている。この内容のすべては本願に援用される。
アニーリング時間は約数時間から約1分の範囲であって良い。たとえば250℃を超える温度のアニーリング時間は、約1時間から約2分、約30分から約2分、又は約5分から約2分の範囲であって良い。
一の実施形態によると、アニーリング温度は約260℃〜約350℃の範囲内であって良い。ここで、低酸素雰囲気は約40ppm未満の酸素を含む。たとえばブロック共重合体層380は、約2分〜約5分間、約40ppm未満の酸素中で310℃のアニーリング条件に曝露されて良い。
従ってブロック共重合体層380のアニーリング工程は、一方のポリマーブロックで構成され、かつ、他方のブロックポリマーで構成されドメイン395によって挟まれた第1ドメイン390を有する自己組織化ブロックポリマー層380’を成する。さらに適切なポリマーブロックの選択によって供される固有のエッチング選択性に基づいて、複数のドメインのうちの1つは、単一のエッチング化学物質を用いる単一工程において選択的に除去され得ること、又は、各異なるエッチング化学物質による複数のエッチングを用いることによって去され得ることがわかる
たとえばドメイン390はポリスチレン(PS)で構成され、かつ、ドメイン395はポリメチルメタクリラート(PMMA)で構成される場合、PMMAドメイン395は、選択酸素プラズマエッチングを実行することによって除去され得る。選択酸素プラズマエッチングは、残るPSドメインの特徴部位を部分的に酸化させる。結果として得られる特徴部位の寸法は、使用される共重合体のサイズとプロセス条件に依存して変化し得ることがわかる。図3Fに図示されたラメラ相以外のドメイン相も考えられるので、本発明はラメラ相ドメインに限定されないことをさらに理解すべきである
(b) 光塩基発生剤
本発明の他の実施形態によると、感光性成分は光塩基発生剤である。これはフォトリソグラフィ用途での利用に適している。図4を参照すると、本発明の他の実施形態による自己組織化材料を含む積層基板を形成する方法400が供される。当該方法400は、
410において基板上に第1材料層を形成する工程、
420において第1材料層上に放射線感受性材料層を形成する工程、
430においてパターンを有する光によって前記放射線感受性材料層のイメージングを行うことで前記放射線感受性材料層中にパターンを生成する工程、
440において前記放射線感受性材料層を架橋反応温度以上の温度に加熱する工程、
450において前記のイメージングされた層をポジ型現像する工程、及び、
460において自己組織化材料を含むブロック共重合体パターンを形成する工程、を含む。放射線感受性材料は光塩基発生剤、酸化合物、架橋剤、及び架橋ポリマーを含む。パターンを有する光によるイメージング工程は、塩基発生剤のかなりの部分がそのままである領域によって取り囲まれる塩基発生剤のかなりの部分が分解した第1領域によって画定されるパターンを供する。
前述したように、図5A−図5Fに図示された実施形態の放射線感受性材料は、光塩基発生剤化合物及び酸性化合物をも含有する。光塩基発生剤は、活性化放射線に曝露される際に塩基を提供するため、分解する(例えば、開裂を受ける)。光塩基発生剤は一般的に、光活性化の際に塩基(たとえばアミンのような有機塩基)を発生る中性化合物ある。光塩基発生剤は、活性化放射線への曝露に続く放射線感受性材料層中の酸性化合物を中和可能にするのに十分な量で本発明の組成物中に存在しなければならない。様々な塩基発生剤化合物は、本発明の組成物での使用に適している。適切な塩基発生剤は、有機化合物、たとえば光活性カルバマート−ベンジルカルバマート及びベンゾインカルバマートを包含する−を包含する。他の適切な有機塩基発生剤は、O−カルバモイルヒドロキシルアミン、O−カルバモイルオキシム、芳香族スルホンアミド、αラクタム、アミド、例えばN−(2−アリールエチニル)アミド、及び他のアミドを包含する
複数の第1官能基(FG’)を含む架橋剤及び複数の第2官能基(FG’’)を含む架橋ポリマーは、相補的反応体を酸橋反応に提供するように選ばれる。従って放射線感受性材料中にも存在する酸性化合物は、意図した架橋反応への触媒として機能する。酸性化合物は、架橋反応温度以上の温度に加熱する際に架橋ポリマーの架橋を実現するのに十分な量放射線感受性材料中に存在する。
図5Aを参照して、本発明の実施形態によると、積層基板500は、その上に形成される材料層520を有する基板510を含む。放射線感受性材料の層530は材料層520上に成される。放射線感受性材料層530を第1材料層520へ適用後、放射線感受性材料層530は、たとえばマスク(図示されていない)によって供され得るパターンを有する電磁(EM)放射線540に曝露されて良い。上述したように、マスクは、約365nm〜約6.5nmの範囲の波長を含む湿式(たとえば浸漬)リソグラフィ又は乾式リソグラフィでの使用に適した任意のマスクをして良い。マスクはバイナリマスク又はガラス上にクロムが存在するマスクを包含して良い。あるいはマスクは、交互相シフトマスク又は埋め込み位相シフトマスクをして良い。
パターンを有するEM放射線540への放射線感受性材料30の曝露は乾式又は湿式フォトリソグラフィシステム内で実行されて良い。リソグラフィシステムは、たとえば波長が365nm、248nm、193nm、157nm、13nm、及び6.5nmのEM放射線のパターンを供する機能を有して良い。本発明の実施形態によると、EM放射線の波長は、放射線感受性材料の光塩基発生剤成分を分解/劣化させるのに必要な波長に対応するように選ばれる。本発明の他の実施形態によると、放射線感受性材料の感光性成分は、利用可能なデバイスによって供されるEM放射線の波長が感光性成分を分解/劣化させるのに十分となるように設計される。画像パターンは、任意の適切な従来のステッピングリソグラフィシステム又は走査リソグラフィシステムを用いることによって成されて良い。マスクを使用してEM放射線に放射線感受性材料の層530を照射及び曝露する方法は、マイクロエレクトロニクスデバイス製造に関する当業者には既知である。図5Bに図示されるように、パターンを有するEM放射線540へ放射線感受性材料の層530を曝露する工程は、光塩基発生剤のかなりの部分がそのままであるイメージングされていない領域533によって取り囲まれる光塩基発生剤のかなりの部分が分解したイメージングされた領域530’によって画定されるパターンを提供する。
図5Cを参照すると、架橋温度以上の温度に積層基板501を加熱することによってイメージングされた層530’を熱処理する工程は、放射線感受性材料の架橋部分560が提供するため、イメージングされていない領域533内の架橋剤による架橋性ポリマーの架橋を引き起こすと同時に、イメージングされた領域545は非架橋領域550を成する。意図した架橋反応を実現するのに必要な酸触媒が、光塩基発生剤の光分解から生成される塩基によって中和されため、非架橋領域550は架橋されない。因子−たとえば放射線感受性材料中に存在する官能基の性質及び酸性化合物の量−に依存して、積層基板500の温度は、約30秒〜約180秒の期間中に約50℃〜約200℃の架橋反応温度にまで昇温されて良い。
図5A−図5Fに図示された実施形態中に存在する架橋剤は、上述した光分解性架橋剤であって良いことをさらに理解すべきである
図5C及び図5Dを参照すると、非架橋領域550を有する積層基板502は、特徴部位570を供するようにポジ型現像化学物質中で可溶な非架橋領域550を除去するポジ型現像化学物質を含有する現像溶液接触さる。それにより下地の第1材料層520が曝露される。その後、現像された積層基板503は乾燥される。現像プロセスは、所定期間(たとえば約30秒〜約180秒)、所定温度(たとえば室温)、及び所定圧力(たとえば大気圧)で実行されて良い。現像プロセスは、現像システム−たとえばトラックシステム−内で現像溶液に基板を曝露する工程を包含して良い。
図5Eを参照すると、次にブロック共重合体の層580は適用され、且つ、曝露された第1材料層520及び放射線感受性材料の架橋部分560の上方にマスクパターンを成するため、自己組織化させる。ブロック共重合体は、互いに対して選択的にエッチングされ得る少なくとも2つのポリマーブロックを含む。つまりブロック共重合体は、第1セットのエッチング条件下で2よりも大きなエッチング選択性を有する。さらにブロック共重合体は、所望の予測可能な方法で自己組織化し得る。たとえばポリマーブロックは非混和性であり、かつ、単一ブロック種を主に含むドメインを成するため、適切な条件下で偏析する
続いて図5Eと図5Fを参照すると、ブロック共重合体層の層580はアニーリング条件に曝露される。それにより放射線感受性材料の離間した架橋部分560間で横並びに整列した複数の交互ドメイン590、595となるまで、ブロック共重合体の自己組織化を促進る。図5Fに図示されたこの典型的実施形態では、自己組織化ブロックポリマーの層580’はドメイン590,595を有する。ドメイン590,595は、第1材料層520がドメイン595を含むポリマーブロックに対する化学親和性を有するように配列される。従ってブロック共重合体のリマーブロックのつと第1材料層520との間での化学親和性は、ドメイン595を特徴部位570へピン留めするように機能する。逆に化学親和性が、放射線感受性材料の架橋部分とブロック共重合体のポリマーブロックとの間でニュートラルである場合、ドメイン590と595いずれも、このニュートラルな表面にわたって自己組織化し得る。これは周波数多重化(frequency multiplication)を有利に提供する。図5Fに図示された実施形態では、3倍の(3X)周波数多重化が示されている。上述したように、1倍〜10倍の範囲の他の周波数多重化が得られても良いことを理解すべきである。1倍周波数多重化の場合、ニュートラル層は、ドメイン590を含むブロックへ化学的に引きつけられ得るので、組織化のための化学駆動力をさらに増大させ得る。自己組織化は、図5Eに図示されている積層構造504をアニールすることによって促進及び加速されて良い。アニーリングプロセスの温度は、ブロック共重合体又は積層構造に悪影響を及ぼすのを防止するのに十分な程度に低く選ばれて良い。上述したようにアニーリングが実行されて良い。
それに加えて、適切なポリマーブロックの選択によって供される固有エッチング選択性に基づいて、メインのうちの1つは、単一のエッチング化学物質を用いる単一工程において選択的に除去され得ること、又は、各異なるエッチング化学物質による複数のエッチングを用いることによって去され得ることがわかる
(c) 光分解性塩基
本発明の他の実施形態によると、感光性成分は光分解性塩基である。これはフォトリソグラフィ用途での利用に適している。図6を参照すると、本発明の他の実施形態による自己組織化材料を含む積層基板を形成する方法600が供される。当該方法600は、
610において基板上に第1材料層を形成する工程、
620において第1材料層上に放射線感受性材料層を形成する工程、
630においてパターンを有する光によって前記放射線感受性材料層のイメージングを行うことで前記放射線感受性材料層中にパターンを生成する工程、
640において前記放射線感受性材料層を架橋反応温度以上の温度に加熱する工程、
650において前記のイメージングされた層をネガ型現像する工程、及び、
660において自己組織化材料を含むブロック共重合体パターンを形成する工程、を含む。放射線感受性材料は光分解性塩基、酸性化合物、架橋剤、及び架橋ポリマーを含む。パターンを有する光によるイメージング工程は、光分解性塩基のかなりの部分がそのままである領域によって取り囲まれる光分解性塩基のかなりの部分が分解した第1領域によって画定されるパターンを供する。
前述したように、図7A−図7Fに図示された実施形態の放射線感受性材料は、光分解性塩基及び酸性化合物をも含有する。光分解性塩基及び酸性化合物は、(酸−塩基の性質という観点で)化学的に中性の層を効果的に供するように機能する。光分解性塩基は塩基成分を含むことを理解すべきである塩基性成分を中和する酸を生成するため、前記光分解性塩基は、活性化放射線への曝露の際、分解する(光開裂を受ける)。光分解性塩基の分の正味の効果は、放射線感受性材料の曝露された領域が化学的に酸性となることである。図7A−図7Fに図示された実施形態によると、架橋剤と架橋ポリマーとの間での架橋反応は、架橋反応温度以上に加熱する際に酸によって触媒されるので、曝露された領域内の架橋ポリマーは架橋を受ける
よって実施形態によると、光分解性塩基は酸発生成分及び弱酸成分の共役塩基を有する。酸発生成分及び弱酸成分の共役塩基は、イオン対をなしても良いし、あるいは、共有結合しても良い。本願で用いられているように、酸発生剤成分は、光分解性であり、かつ、ヨードニウム又はスルホニウムのような感光性基を包含する。ヨードニウム基の非限定的例は、ジアリールヨードニウム部分−たとえばジフェニルヨードニウム、ビス(4−tert−ブチルフェニル)ヨードニウム又は4−メチルフェニル[4−(1−メチルエチル)フェニル]ヨードニウム−を包含する。スルホニウム基の非限定的例は、トリアリールスルホニウム部分−たとえばトリフェニルスルホニウム又はトリ(4−tert−ブチルフェニル)スルホニウム−を包含する
本発明の光分解性塩基に適した弱酸の共役塩基の非限定的例には、カルボキシレート(たとえばアセテート、ベンゾート、又はシュウ酸塩)、フェノキシド、水酸化物、アルコキシド、又はハロゲン化アルコキシド(たとえば2,2,2−トリフルオロエタノール)が含まれる。当業者は、スルホン酸が強酸であるため、排除されることを理解する。従ってスルホン酸塩−たとえばアルキルスルホン酸塩、アリールスルホン酸塩、トリフルオロメチルスルホン酸塩、ペルフルオロ−1−ブタンスルホン酸塩、及びペルフルオロ−1−オクタンスルホン酸塩−は、光分解性塩基の適切な共役塩基成分ではない。同様に古典的なイオン性光酸発生剤中に広く見いだされる他のアニオン−たとえばヘキサフルオロアンチモン酸塩([SbF)及びテトラキス−(ペンタフルオロフェニル)ホウ酸塩−もまた排除される。
典型的な光分解性塩基トリアルキルスルホニウム、トリフェニルスルホニウム、トリス(tert−ブチルフェニル)スルホニウム、ジフェニルヨードニウム、ビス−(4−tert−ブチルフェニル)ヨードニウム、又は4−メチルフェニル[4−(1−メチルエチル)フェニル]ヨードニウムの、カルボキシレート、フェノキシド、アルコキシド、ハロゲン化したアルコキシド、又は水酸化物塩を包含するが、これらに限定されない。たとえば1つの適切な光分解性塩基は水酸化トリメチルスルホニウムである。
複数の第1官能基(FG’)を含む架橋剤及び複数の第2官能基(FG’’)を含む架橋ポリマーは、相補的反応体を酸橋反応に提供するように選ばれる。従って放射線感受性材料中にも存在する酸性化合物は、意図した架橋反応への触媒として機能する。光分解性塩基の分解によって効果的に再生成される酸性化合物は、架橋反応温度以上の温度に加熱する際にイメージングされた領域内において架橋ポリマーの架橋を実現するのに十分な量放射線感受性材料中に存在する。
図7Aを参照して、本発明の実施形態によると、積層基板700は、その上に形成される材料層720を有する基板710を含む。放射線感受性材料層730が材料層720上に成される。放射線感受性材料層730を第1材料層720へ適用後、放射線感受性材料層730は、たとえばマスク(図示されていない)によって供され得るパターンを有する電磁(EM)放射線740に曝露されて良い。
パターンを有するEM放射線740への放射線感受性材料層730の曝露は乾式又は湿式フォトリソグラフィシステム内で実行されて良い。リソグラフィシステムは、たとえば波長が365nm、248nm、193nm、157nm、13nm、及び6.5nmのEM放射線のパターンを供する機能を有して良い。本発明の実施形態によると、EM放射線の波長は、放射線感受性材料の光分解性塩基分を分解/劣化させるのに必要な波長に対応するように選ばれる。本発明の他の実施形態によると、放射線感受性材料の感光性成分は、利用可能なデバイスによって供されるEM放射線の波長が感光性成分を分解/劣化させるのに十分となるように設計される。画像パターンは、任意の適切な従来のステッピングリソグラフィシステム又は走査リソグラフィシステムを用いることによって成されて良い。マスクを使用してEM放射線に放射線感受性材料層730照射及び曝露する方法は、マイクロエレクトロニクスデバイスの製造に関する当業者には既知である。図7Bに図示されているように、パターンを有するEM放射線740へ放射線感受性材料層730を曝露する工程は、光分解性塩基のかなりの部分がそのままであるイメージングされていない領域733によって取り囲まれた光分解性塩基のかなりの部分が分解したイメージングされた領域745によって画定されるパターンを有するイメージングされた層730’を提供する
図7Cを参照すると、架橋温度以上の温度に積層基板701を加熱することによってイメージングされた層730’を熱処理する工程は放射性感受性材料の架橋した部分760を提供するため、イメージングされた領域745内の架橋剤による架橋ポリマーの架橋を引き起こすと同時に、イメージングされなかった領域733は非架橋領域750を成する。架橋反応を引き起こすのに必要な酸触媒が、光分解性塩基の塩基成分によってこれまでに中和されたため、非架橋領域750はそのように残る。
図7C及び図7Dを参照すると、非架橋領域750を有する積層基板702は、架橋した特徴部位770を供するようにネガ型現像化学物質中で可溶な非架橋領域750を除去するネガ型現像化学物質を含有する現像溶液接触さる。それにより下地の第1材料層720が曝露される。本願で用いられているように、ネガ型現像化学物質は、放射線曝露を有する非架橋領域750を選択的に除去する溶媒系を意味する。一般的なネガ型現像溶媒系は有機溶媒を包含する。一の実施形態では、イメージングされた領域350を選択的に除去するネガ型現像化学物質は、有機溶媒−たとえば放射線感受性材料層730を成するのに用いられるもの−を含む。その後現像された積層基板703は乾燥される。現像プロセスは、所定期間(たとえば約30秒〜約180秒)、所定温度(たとえば室温)、及び所定圧力(たとえば大気圧)で実行されて良い。現像プロセスは、現像システム−たとえばトラックシステム−内で現像溶液に基板を曝露する工程を包含して良い。
図7Eを参照すると、次にブロック共重合体780が適用され、かつ、露された第1材料層720及び放射線感受性材料の架橋部分760の上方にマスクパターンを成するため、自己組織化させる。ブロック共重合体は、互いに対して選択的にエッチングされ得る少なくとも2つのポリマーブロックを含む。つまりブロック共重合体は、第1セットのエッチング条件下で2よりも大きなエッチング選択性を有する。さらにブロック共重合体は、所望の予測可能な方法で自己組織化し得る。たとえばポリマーブロックは非混和性であり、かつ、一ブロック種を主に含有するドメインを成するため、適切な条件下で偏析する
続いて図7Eと図7Fを参照すると、ブロック共重合体層780はアニーリング条件に曝露される。それにより、放射線感受性材料の架橋部分760のいずれかの側面(either side)上の第1材料層のスパンに沿って、横並びに整列した複数の交互ドメイン790、795となるまで、ブロック共重合体の自己組織化を促進る。図7Fに図示されたこの典型的実施形態では、自己組織化ブロックポリマー層780’はドメイン790,795を有する。ドメイン790,795は、放射線感受性材料の架橋部分760がドメイン790を含むポリマーブロックに対する化学親和性を有するように配列される。従ってブロック共重合体のリマーブロックのうちの1つと架橋部分760との間での化学親和性は、ドメイン790を架橋部分760へピン留めするように機能する。逆に化学親和性が、第1材料層760とブロック共重合体のポリマーブロックとの間でニュートラルである場合、ドメイン790と795いずれも、このニュートラルな表面にわたって自己組織化し得る。これは周波数多重化を有利に提供する。図7Fに図示された実施形態では、3倍の(3X)周波数多重化が示されている。上述したように、1倍〜10倍の範囲の他の周波数多重化が得られても良いことを理解すべきである。たとえば1倍周波数多重化の場合、ニュートラル層は、ドメイン795を含むブロック化学的に引きつけられ得るので、組織化のための化学駆動力をさらに増大させ得る。自己組織化は、図7Eに図示されている積層構造をアニールする工程によって促進及び加速されて良い。アニーリングプロセスの温度は、ブロック共重合体又は積層構造に悪影響を及ぼすのを防止するのに十分な程度に低く選ばれて良い。前述したようにアニーリングが実行されて良い。
それに加えて、適切なポリマーブロックの選択によって供される固有エッチング選択性に基づいて、メインのうちの1つは、単一のエッチング化学物質を用いる単一工程において選択的に除去され得ること、又は、各異なるエッチング化学物質による複数のエッチングを用いることによって去され得ることがわかる
たとえ本発明が1つ以上の実施形態によって説明されたとしても、そしてその実施形態がかなり詳細に記載されているとしても、これらは如何なる意味においても、「特許請求の範囲」の技術的範囲を係る詳細に限定するものと解されてはならない。当業者は、他の利点及び修正型をすぐに思いつく。従って広い態様における本発明は具体的詳細に限定されない。従って多くの係る修正型は、本発明の技術思想及び/又は技術的範囲から逸脱することなく様々な修正型が可能である。


Claims (21)

  1. 自己組織化材料を含む積層基板の形成方法であって:
    基板上に第1材料層を形成する工程;
    前記第1材料層上に、射線感受性材料層を形成する工程であって、前記放射線感受性材料は、光分解性架橋剤を含む感光性成分と、架橋性ポリマーとを含む、工程
    パターンを有する光によって前記放射線感受性材料層のイメージングを行うことで前記放射線感受性材料層中にパターンを形成する工程であって、前記パターンは、前記光分解性架橋剤の大部分が分解した第1領域及び前記光分解性架橋剤の大部分がそのままである第2領域によって画定される、工程;
    前記放射線感受性材料層を架橋反応温度以上の温度に加熱する工程であって、前記第1領域又は前記第2領域のうちの一における架橋ポリマーを架橋することで架橋された領域を成すると同時に、前記第1領域又は前記第2領域のうちの他を非架橋領域として残す工程;
    前記のイメージングされた層を現像する工程であって、前記非架橋領域を除去する工程;及び、
    ブロック共重合体から誘導された前記自己組織化材料を含むブロック共重合体パターンを形成する工程、
    を有する方法。
  2. 前記ブロック共重合体の少なくとも1つのポリマーブロックは、前記第1材料層又は前記放射線感受性材料の架橋された領域に対して化学親和性を有する、請求項1に記載の方法。
  3. 前記光分解性架橋剤が、光分解性官能基を介して互いに共有結合する複数の第1官能基(FG’)を含
    前記放射線感受性材料の架橋性ポリマーが複数の第2官能基(FG’’)を含み、
    前記第2官能基(FG’’)は、前記架橋反応温度以上の温度に加熱すると、前記第1官能基(FG’)と反応し、かつ、
    前記現像はポジ型の現像である、
    請求項1に記載の方法。
  4. 前記光分解性架橋剤が一般(I)によって定義され、
    (I) FG’−L’−Q(−L’’−FG’)
    FG’は、第1級アミン、第2級アミン、ヒドロキシル、アミド、エステル、エポキシ、又はエピスルフィドら選ばれる第1官能基を表し、
    L’とL’’は、前記第1官能基とQとを共有結合させる結合基を表し
    Qは前記光分解性官能基を表し、かつ、
    mは1〜3の整数である、
    請求項3に記載の方法。
  5. 前記光分解性架橋剤が一般(II)によって定義され、
    (II) FG’−L−I−L−FG’A
    FG’は、第1級アミン、第2級アミン、ヒドロキシル、アミド、エステル、エポキシ、又はエピスルフィドら選ばれる第1官能基を表し、
    とLは、前記第1官能基とヨードニウム基(I)とを共有結合する結合基を表し、前記LとLは置換又は非置換アリールを含み、及び、
    Aは、複合金属ハライド又は強プロトン酸のアニオンである、
    請求項3に記載の方法。
  6. 光分解性架橋剤が一般(III)によって定義され、
    (III) [FG’−L−SO−O−N=CR−Y
    FG’は、第1級アミン、第2級アミン、ヒドロキシル、アミド、エステル、エポキシ、又はエピスルフィドら選ばれる第1官能基を表し、
    はFG’とスルホ(SO)基とを共有結合する結合基であり
    は、置換若しくは非置換アルキル、ハロアルキル、シクロアルキル、ヘテロ環、アリール、ヘテロアリール、又はアルカリールを含み
    nは複数の第1官能基(FG’)を供するため2以上の整数であり、かつ、
    Yは前記複数の第1官能基同士を共有結合させる炭素含有接続部を含む、
    請求項3に記載の方法。
  7. 前記光分解性架橋剤が一般(IV)によって定義され、
    Figure 2016517538
    FG’は、第1級アミン、第2級アミン、ヒドロキシル、アミド、エステル、エポキシ、又はエピスルフィドら選ばれる第1官能基を表し、
    はFG’とスルホニル(SO)基とを共有結合する結合基であり
    とRは、同一のもしくは異なる炭素含有部分であっても良いし、又は、結合して1つの炭素含有環を構成しても良いが、但し、、R、又は前記炭素含有環のうちの少なくとも1つが少なくとも1つのFG’置換される、
    請求項3に記載の方法。
  8. 前記光分解性架橋剤が一般(V)によって定義され、
    Figure 2016517538
    FG’は、第1級アミン、第2級アミン、ヒドロキシル、アミド、エステル、エポキシ、又はエピスルフィドら選ばれる第1官能基を表し、
    Wはカルボニル(C=O)基又はスルホニル(SO)基から選ばれ、
    はFG’とWとを共有結合する結合基であり、かつ、
    、R、R、R、及びRH;ハライド;置換又は非置換アルキル、シクロアルキル、アリール、アルカリール基、又はエーテル基;ハロアルキル;ヘテロ環;ヘテロアリール;アルコキシル;又はこれらの組合せから独立して選ばれ、但し、、R、R、R、又はRのうちの少なくとも1つは、第1級アミン、第2級アミン、ヒドロキシルアミド、エステル、エポキシ、及びエピスルフィドら選ばれる官能基を含む、
    請求項3に記載の方法。
  9. 前記光分解性架橋剤が一般(VI)によって定義され、
    (VI) FG’−L−S−CR=CR10−S−L−FG’
    FG’は、第1級アミン、第2級アミン、ヒドロキシル、アミド、エステル、エポキシ、又はエピスルフィドら選ばれる第1官能基を表し、
    とR10は、H又は置換若しくは非置換炭素含有鎖及び環から独立に選ばれ、かつ、
    とLはFG’と硫黄とを共有結合する結合基である、
    請求項3に記載の方法。
  10. 前記ブロック共重合体パターンを形成する工程が:
    前記ブロック共重合体層を成する工程であって、前記ブロック共重合体は、10.5以上のχNパラメータを有し、かつ、第1ポリマーブロックと第2ポリマーブロックを含む、工程;
    前記ブロック共重合体層をアニーリングすることで、複数のドメインを含む前記ブロック共重合体パターンを成する工程;及び、
    任意で前記ブロック共重合体パターンの第1ドメインを選択的に除去すると同時に、前記ブロック共重合体パターンの第2ドメインを残す工程、
    を有する、請求項3に記載の方法。
  11. 前記放射線感受性材料層を形成する工程が:
    前記第1材料層上に溶媒中の前記放射線感受性材料の溶液をキャストする工程;
    前記溶媒を除去する工程;及び、
    パターンを有する光によって前記感光性材料の第2層をイメージングする前に、前記層を前記架橋反応温度以上の温度に加熱する工程、
    を有する、請求項3に記載の方法。
  12. 前記放射線感受性材料層が、酸性化合物をさらに含み且つ前記光分解性架橋剤が、光分解性官能基を介して互いに共有結合する複数の第1官能基(FG’)を含
    前記放射線感受性材料の感光性成分が、光塩基発生剤をさらに含み
    前記放射線感受性材料の架橋ポリマーが複数の第2官能基(FG’’)を含み
    前記第2官能基(FG’’)は、前記架橋反応温度以上の温度に加熱すると、前記第1官能基(FG’)と反応し、
    前記第1領域は、前記光分解性架橋剤及び塩基発生剤のかなりの部分を分解させ、かつ、前記第2領域は、前記光分解性架橋剤及び前記光塩基発生剤のかなりの部分をそのままにし、かつ、
    前記現像はポジ型の現像である、
    請求項1に記載の方法。
  13. 前記パターンを有する光によって前記放射線感受性材料層をイメージングする工程が、活性化放射線への曝露に続いて前記光塩基発生剤の分解生成物として有機アミンを生成する、請求項12に記載の方法。
  14. 前記光塩基発生剤が中性化合物である、請求項12に記載の方法。
  15. 前記光塩基発生剤が、カルバマート、O−カルバモイルヒドロキシルアミン、O−カルバモイルオキシム、芳香族スルホンアミド、αラクタム、及びN−(2−アリールエチニル)アミドからなる群から選ばれる、請求項12に記載の方法。
  16. 前記ブロック共重合体パターンを形成する工程が:
    前記ブロック共重合体層を成する工程であって、前記ブロック共重合体は、10.5以上のχNパラメータを有し、かつ、第1ポリマーブロックと第2ポリマーブロックを含む、工程;
    前記ブロック共重合体層をアニーリングすることで、複数のドメインを含む前記ブロック共重合体パターンを成する工程;及び、
    任意で前記ブロック共重合体パターンの第1ドメインを選択的に除去すると同時に、前記ブロック共重合体パターンの第2ドメインを残す工程、
    を有する、請求項12に記載の方法。
  17. 前記放射線感受性材料層が、酸性化合物をさらに含み、及び、前記光分解性架橋剤が、光分解性官能基を介して互いに共有結合する複数の第1官能基(FG’)を含み
    前記放射線感受性材料の感光性成分が、光分解性塩基をさらに含み
    前記放射線感受性材料の架橋ポリマーが複数の第2官能基(FG’’)を含み、前記第2官能基(FG’’)は、前記架橋反応温度以上の温度に加熱すると、前記第1官能基(FG’)と反応し、
    前記第1領域は、前記光分解性架橋剤及び前記光分解性塩基のかなりの部分を分解させ、かつ、前記第2領域は、前記光分解性架橋剤及び前記光分解性塩基のかなりの部分をそのままにし、かつ、
    前記現像はネガ型の現像である、
    請求項1に記載の方法。
  18. 前記光分解性塩基が光分解性酸発生成分及び弱酸成分の共役塩基を含み
    活性化放射線への曝露の際、前記光分解性酸発生剤成分は、前記弱酸成分の共役塩基を中和する酸を生成する、
    請求項17に記載の方法。
  19. 前記光分解性酸発生成分はヨードニウム基又はスルホニウム基から選ばれる感光性基を含む、請求項18に記載の方法。
  20. 前記光分解性塩基が、トリアルキルスルホニウム、トリフェニルスルホニウム、トリス(tert−ブチルフェニル)スルホニウム、ジフェニルヨードニウムビス−(4−tert−ブチルフェニル)ヨードニウム;又は、4−メチルフェニル[4−(1−メチルエチル)フェニル]ヨードニウムの、カルボキシレート、フェノキシド、ハロゲン化アルコキシド、又は水酸化物塩から選ばれる、請求項17に記載の方法。
  21. 前記ブロック共重合体パターンを形成する工程が
    前記ブロック共重合体層を生成する工程であって、前記ブロック共重合体は、10.5以上のχNパラメータを有し、かつ、第1ポリマーブロックと第2ポリマーブロックを含む、工程;
    前記ブロック共重合体層をアニーリングすることで、複数のドメインを含む前記ブロック共重合体パターンを成する工程;及び、
    任意で前記ブロック共重合体パターンの第1ドメインを選択的に除去すると同時に、前記ブロック共重合体パターンの第2ドメインを残す工程、
    を含む、請求項17に記載の方法。

JP2016500282A 2013-03-14 2014-02-18 光分解性剤を用いることによって自己組織化材料を含む層構造を有する基板を形成する方法 Pending JP2016517538A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/830,859 US8980538B2 (en) 2013-03-14 2013-03-14 Chemi-epitaxy in directed self-assembly applications using photo-decomposable agents
US13/830,859 2013-03-14
PCT/US2014/016760 WO2014158440A2 (en) 2013-03-14 2014-02-18 Chemi-epitaxy in directed self-assembly applications using photo-decomposable agents

Publications (2)

Publication Number Publication Date
JP2016517538A JP2016517538A (ja) 2016-06-16
JP2016517538A5 true JP2016517538A5 (ja) 2017-01-26

Family

ID=50231538

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2016500282A Pending JP2016517538A (ja) 2013-03-14 2014-02-18 光分解性剤を用いることによって自己組織化材料を含む層構造を有する基板を形成する方法

Country Status (5)

Country Link
US (1) US8980538B2 (ja)
JP (1) JP2016517538A (ja)
KR (1) KR101755139B1 (ja)
TW (1) TWI559090B (ja)
WO (1) WO2014158440A2 (ja)

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8097175B2 (en) 2008-10-28 2012-01-17 Micron Technology, Inc. Method for selectively permeating a self-assembled block copolymer, method for forming metal oxide structures, method for forming a metal oxide pattern, and method for patterning a semiconductor structure
US8404124B2 (en) 2007-06-12 2013-03-26 Micron Technology, Inc. Alternating self-assembling morphologies of diblock copolymers controlled by variations in surfaces
US8999492B2 (en) 2008-02-05 2015-04-07 Micron Technology, Inc. Method to produce nanometer-sized features with directed assembly of block copolymers
US8426313B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
US8425982B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Methods of improving long range order in self-assembly of block copolymer films with ionic liquids
US8900963B2 (en) 2011-11-02 2014-12-02 Micron Technology, Inc. Methods of forming semiconductor device structures, and related structures
US9229328B2 (en) 2013-05-02 2016-01-05 Micron Technology, Inc. Methods of forming semiconductor device structures, and related semiconductor device structures
KR102394998B1 (ko) 2013-09-04 2022-05-04 도쿄엘렉트론가부시키가이샤 유도 자기 조립용 화학 템플릿을 생성하기 위한 경화 포토레지스트의 자외선을 이용한 박리
US9372402B2 (en) * 2013-09-13 2016-06-21 The Research Foundation For The State University Of New York Molecular organometallic resists for EUV
US9177795B2 (en) 2013-09-27 2015-11-03 Micron Technology, Inc. Methods of forming nanostructures including metal oxides
JP6173989B2 (ja) * 2014-08-29 2017-08-02 東芝メモリ株式会社 パターン形成方法
US9385129B2 (en) * 2014-11-13 2016-07-05 Tokyo Electron Limited Method of forming a memory capacitor structure using a self-assembly pattern
US9697990B2 (en) 2015-11-16 2017-07-04 Tokyo Electron Limited Etching method for a structure pattern layer having a first material and second material
WO2017111822A1 (en) * 2015-12-24 2017-06-29 Intel Corporation Pitch division using directed self-assembly
US9978563B2 (en) 2016-01-27 2018-05-22 Tokyo Electron Limited Plasma treatment method to meet line edge roughness and other integration objectives
US9947597B2 (en) 2016-03-31 2018-04-17 Tokyo Electron Limited Defectivity metrology during DSA patterning
KR20180070973A (ko) 2016-12-19 2018-06-27 삼성전자주식회사 미세 패턴 형성 방법, 커패시터 및 그의 형성 방법, 반도체 소자 및 그의 제조 방법, 반도체 소자를 포함하는 전자 시스템
FR3074180B1 (fr) * 2017-11-24 2021-01-01 Arkema France Procede de controle de la planeite d'un empilement polymerique
US11545370B2 (en) * 2018-10-30 2023-01-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming pattern and manufacturing method of package
US11201051B2 (en) * 2018-11-13 2021-12-14 Tokyo Electron Limited Method for layer by layer growth of conformal films

Family Cites Families (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5650261A (en) 1989-10-27 1997-07-22 Rohm And Haas Company Positive acting photoresist comprising a photoacid, a photobase and a film forming acid-hardening resin system
JPH06266100A (ja) * 1993-03-15 1994-09-22 Toshiba Corp 感光性組成物
US5344742A (en) 1993-04-21 1994-09-06 Shipley Company Inc. Benzyl-substituted photoactive compounds and photoresist compositions comprising same
EP1239790B1 (de) 1999-12-24 2005-04-20 Ivoclar Vivadent AG Atraumatischer approximalraumerweiterer
US6303477B1 (en) 2001-04-04 2001-10-16 Chartered Semiconductor Manufacturing Ltd Removal of organic anti-reflection coatings in integrated circuits
US6855476B2 (en) 2001-04-05 2005-02-15 Arch Specialty Chemicals, Inc. Photoacid generators for use in photoresist compositions
NZ513637A (en) 2001-08-20 2004-02-27 Canterprise Ltd Nanoscale electronic devices & fabrication methods
JP3892792B2 (ja) 2001-11-02 2007-03-14 大日本スクリーン製造株式会社 基板処理装置および基板洗浄装置
US6632960B2 (en) 2002-06-21 2003-10-14 Goldschmidt Ag Diaryliodonium salt catalysts made from iodotoluene and a method for preparing them
JP3993048B2 (ja) 2002-08-30 2007-10-17 大日本スクリーン製造株式会社 基板処理装置
WO2004074242A2 (en) 2003-02-19 2004-09-02 Ciba Specialty Chemicals Holding Inc. Halogenated oxime derivatives and the use thereof as latent acids
US20050056219A1 (en) 2003-09-16 2005-03-17 Tokyo Electron Limited Formation of a metal-containing film by sequential gas exposure in a batch type processing system
WO2005097883A2 (en) 2004-03-26 2005-10-20 King Industries, Inc. Method of producing a crosslinked coating in the manufacture of integrated circuits
WO2005101468A1 (ja) 2004-04-13 2005-10-27 Tokyo Electron Limited リンス処理方法および現像処理方法
JP4343018B2 (ja) 2004-04-20 2009-10-14 東京エレクトロン株式会社 基板の処理方法及び基板の処理装置
KR101193824B1 (ko) 2004-07-20 2012-10-24 시바 홀딩 인크 옥심 유도체 및 잠산으로서의 이의 용도
JP2006293326A (ja) * 2005-03-15 2006-10-26 Canon Inc 感光性組成物及び該組成物を用いた構造体の製造方法
US7354692B2 (en) 2005-05-09 2008-04-08 International Business Machines Corporation Photoresists for visible light imaging
US20070037412A1 (en) 2005-08-05 2007-02-15 Tokyo Electron Limited In-situ atomic layer deposition
US8618221B2 (en) 2005-10-14 2013-12-31 Wisconsin Alumni Research Foundation Directed assembly of triblock copolymers
US7579278B2 (en) 2006-03-23 2009-08-25 Micron Technology, Inc. Topography directed patterning
US20070237697A1 (en) 2006-03-31 2007-10-11 Tokyo Electron Limited Method of forming mixed rare earth oxide and aluminate films by atomic layer deposition
JP2007279493A (ja) * 2006-04-10 2007-10-25 Tokyo Ohka Kogyo Co Ltd ネガ型レジスト組成物およびレジストパターン形成方法
US7723009B2 (en) 2006-06-02 2010-05-25 Micron Technology, Inc. Topography based patterning
US7964107B2 (en) * 2007-02-08 2011-06-21 Micron Technology, Inc. Methods using block copolymer self-assembly for sub-lithographic patterning
US8083953B2 (en) 2007-03-06 2011-12-27 Micron Technology, Inc. Registered structure formation via the application of directed thermal energy to diblock copolymer films
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US8080615B2 (en) 2007-06-19 2011-12-20 Micron Technology, Inc. Crosslinkable graft polymer non-preferentially wetted by polystyrene and polyethylene oxide
KR101291223B1 (ko) 2007-08-09 2013-07-31 한국과학기술원 블록 공중합체를 이용한 미세 패턴 형성 방법
US8030212B2 (en) 2007-09-26 2011-10-04 Eastman Kodak Company Process for selective area deposition of inorganic materials
US7763319B2 (en) 2008-01-11 2010-07-27 International Business Machines Corporation Method of controlling orientation of domains in block copolymer films
US7521094B1 (en) 2008-01-14 2009-04-21 International Business Machines Corporation Method of forming polymer features by directed self-assembly of block copolymers
US8101261B2 (en) 2008-02-13 2012-01-24 Micron Technology, Inc. One-dimensional arrays of block copolymer cylinders and applications thereof
US7754518B2 (en) 2008-02-15 2010-07-13 Applied Materials, Inc. Millisecond annealing (DSA) edge protection
US8426313B2 (en) 2008-03-21 2013-04-23 Micron Technology, Inc. Thermal anneal of block copolymer films with top interface constrained to wet both blocks with equal preference
JP5336283B2 (ja) 2008-09-03 2013-11-06 信越化学工業株式会社 パターン形成方法
US8088551B2 (en) 2008-10-09 2012-01-03 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
JP5329265B2 (ja) 2009-03-09 2013-10-30 株式会社日立国際電気 半導体装置の製造方法および基板処理装置
JP5448536B2 (ja) 2009-04-08 2014-03-19 東京エレクトロン株式会社 レジスト塗布現像装置およびレジスト塗布現像方法、並びにレジスト膜処理装置およびレジスト膜処理方法
US8349203B2 (en) 2009-09-04 2013-01-08 International Business Machines Corporation Method of forming self-assembled patterns using block copolymers, and articles thereof
US8828493B2 (en) 2009-12-18 2014-09-09 International Business Machines Corporation Methods of directed self-assembly and layered structures formed therefrom
US8623458B2 (en) 2009-12-18 2014-01-07 International Business Machines Corporation Methods of directed self-assembly, and layered structures formed therefrom
US8696918B2 (en) 2010-05-05 2014-04-15 Micron Technology, Inc. Methods of utilizing block copolymer to form patterns
US9487600B2 (en) 2010-08-17 2016-11-08 Uchicago Argonne, Llc Ordered nanoscale domains by infiltration of block copolymers
US8304493B2 (en) 2010-08-20 2012-11-06 Micron Technology, Inc. Methods of forming block copolymers
JP5820676B2 (ja) 2010-10-04 2015-11-24 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC 下層組成物および下層を像形成する方法
EP2643413A1 (en) 2010-11-24 2013-10-02 Dow Corning Corporation Controlling morphology of block copolymers
JP6049250B2 (ja) * 2010-11-30 2016-12-21 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC 光酸発生剤
US10538859B2 (en) 2010-12-23 2020-01-21 Asml Netherlands B.V. Methods for providing patterned orientation templates for self-assemblable polymers for use in device lithography
KR101891987B1 (ko) 2011-05-31 2018-08-28 엘지디스플레이 주식회사 유기 발광장치 및 그 제조방법
WO2012175343A1 (en) 2011-06-23 2012-12-27 Asml Netherlands B.V. Self-assemblable polymer and methods for use in lithography
KR101890425B1 (ko) 2011-07-14 2018-08-22 삼성디스플레이 주식회사 포토레지스트 박리용 조성물 및 이를 이용한 표시 기판의 제조 방법
WO2013010730A1 (en) 2011-07-18 2013-01-24 Asml Netherlands B.V. Method for providing a template for a self-assemblable polymer for use in device lithography
US9718250B2 (en) 2011-09-15 2017-08-01 Wisconsin Alumni Research Foundation Directed assembly of block copolymer films between a chemically patterned surface and a second surface
DE102012105384A1 (de) 2012-06-21 2012-09-06 AP&S International GmbH Lift-off-Verfahren und Vorrichtung zum Durchführen des Lift-off-Verfahrens
KR102003334B1 (ko) 2012-09-04 2019-07-24 삼성전자주식회사 패턴 형성 방법
US8715917B2 (en) 2012-10-04 2014-05-06 International Business Machines Corporation Simultaneous photoresist development and neutral polymer layer formation
US8956808B2 (en) 2012-12-04 2015-02-17 Globalfoundries Inc. Asymmetric templates for forming non-periodic patterns using directed self-assembly materials

Similar Documents

Publication Publication Date Title
JP2016517538A5 (ja)
TWI559090B (zh) 使用光可分解劑之定向自組裝應用中之化學磊晶法
JP5112500B2 (ja) パターン形成方法
JP6691195B2 (ja) 誘導自己組織化用の化学テンプレートを形成するための硬化フォトレジストのuv支援剥離
KR101372152B1 (ko) 패턴 형성 방법 및 중합체 알로이 기재
KR102364318B1 (ko) Beol 패턴 커팅 및 플러깅을 위한 노출 활성화된 화학적으로 증폭된 dsa
KR100904330B1 (ko) 패턴 형성 방법
US10538859B2 (en) Methods for providing patterned orientation templates for self-assemblable polymers for use in device lithography
KR101938905B1 (ko) 리소그래픽 애플리케이션에서 방사선 민감성 재료 라인을 슬림화하는 방법
TW201718676A (zh) 新穎嵌段共聚物之組合物及自我組裝方法
JP6782695B2 (ja) 誘導自己集合体施与のためのケイ素含有ブロックコポリマー
WO2016105420A1 (en) Photodefinable alignment layer for chemical assisted patterning
JP6039028B1 (ja) 自己組織化材料及びパターン形成方法
WO2011123433A2 (en) Method of slimming radiation-sensitive material lines in lithographic applications
TW201727399A (zh) 微影方法
CN109755179A (zh) 半导体结构的形成方法
CN109471329A (zh) 进行光刻工艺的方法
KR102067082B1 (ko) 패턴 형성 방법 및 반도체 소자
CN109545666A (zh) 半导体结构的形成方法
JP2018160537A (ja) パターン形成方法
TW201824344A (zh) 微影方法