JP2014505369A - 基板テーブル、リソグラフィ装置、およびデバイス製造方法 - Google Patents

基板テーブル、リソグラフィ装置、およびデバイス製造方法 Download PDF

Info

Publication number
JP2014505369A
JP2014505369A JP2013550780A JP2013550780A JP2014505369A JP 2014505369 A JP2014505369 A JP 2014505369A JP 2013550780 A JP2013550780 A JP 2013550780A JP 2013550780 A JP2013550780 A JP 2013550780A JP 2014505369 A JP2014505369 A JP 2014505369A
Authority
JP
Japan
Prior art keywords
substrate table
multilayer coating
layer
substrate
tin
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2013550780A
Other languages
English (en)
Other versions
JP2014505369A5 (ja
JP5989673B2 (ja
Inventor
アルバート,ベンズリー
コンペン,レネ,セオドルス,ペトルス
Original Assignee
エーエスエムエル ネザーランズ ビー.ブイ.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エーエスエムエル ネザーランズ ビー.ブイ. filed Critical エーエスエムエル ネザーランズ ビー.ブイ.
Publication of JP2014505369A publication Critical patent/JP2014505369A/ja
Publication of JP2014505369A5 publication Critical patent/JP2014505369A5/ja
Application granted granted Critical
Publication of JP5989673B2 publication Critical patent/JP5989673B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70716Stages
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

基部と該基部から突出する複数のバールとを備える基板テーブルあって、バールの上面は、多層コーティングを備える、基板テーブルが提供される。
【選択図】図4

Description

[関連出願の相互参照]
[0001] 本出願は、2011年2月1日に出願された米国仮出願第61/438,480号の利益を主張し、その全体が参照により本明細書に組み込まれる。
[0002] 本発明は、基板テーブル、リソグラフィ装置、およびデバイス製造方法に関する。
[0003] リソグラフィ装置は、所望のパターンを基板上、通常、基板のターゲット部分上に付与する機械である。リソグラフィ装置は、例えば、集積回路(IC)の製造に用いることができる。その場合、ICの個々の層上に形成される回路パターンを生成するために、マスクまたはレチクルとも呼ばれるパターニングデバイスを用いることができる。このパターンは、基板(例えば、シリコンウェーハ)上のターゲット部分(例えば、ダイの一部、または1つ以上のダイを含む)に転写することができる。通常、パターンの転写は、基板上に設けられた放射感応性材料(レジスト)層上への結像によって行われる。一般には、単一の基板が、連続的にパターニングされる隣接したターゲット部分のネットワークを含んでいる。
[0004] リソグラフィは、ICならびに他のデバイスおよび/または構造の製造における重要なステップの1つとして広く認識されている。しかし、リソグラフィを使用して作られるフィーチャの寸法が小さくなるにつれ、リソグラフィは、小型ICあるいは他のデバイスおよび/または構造を製造できるようにするための、より重要な要因になりつつある。
[0005] パターンプリンティングの限界の理論的な推定値は、式(1)に示す分解能のレイリー規準によって与えることができる:
Figure 2014505369

ここで、λは、使用される放射の波長であり、NAは、パターンを印刷するために使用される投影システムの開口数である。kは、レイリー定数とも呼ばれるプロセス依存調整係数であり、CDは、印刷されたフィーチャのフィーチャサイズ(またはクリティカルディメンジョン)である。式(1)から、フィーチャの最小印刷可能サイズの縮小は、3つの方法、すなわち露光波長λを短くすること、開口数NAを大きくすること、またはkの値を小さくすること、によって達成可能であるということになる。
[0006] 露光波長を短くし、ひいては最小印刷可能サイズを縮小するために、極端紫外線(EUV)放射源を使用することが提案されている。EUV放射は、5〜20ナノメートル(nm)の範囲内、例えば、13〜14nmの範囲内、例えば、6.7nmや6.8nmなどの5〜10nmの範囲内の波長を有する電磁放射である。可能な放射源としては、例えば、レーザ生成プラズマ源、放電プラズマ源、または電子蓄積リングによって与えられるシンクロトロン放射に基づく放射源が含まれる。
[0007] EUV放射は、プラズマを使用して生成することができる。EUV放射を生成する放射システムは、燃料を励起してプラズマを供給するレーザと、プラズマを収容するソースコレクタモジュールとを含むことができる。プラズマは、例えば、レーザビームを適切な材料(例えば、スズ)の粒子、適切なガス流または蒸気流(Xeガス、Li蒸気など)などの燃料に誘導することによって生成することができる。結果として得られるプラズマは、放射コレクタを使用して集光される出力放射、例えば、EUV放射を放出する。放射コレクタは、ミラー垂直入射放射コレクタとすることができ、ミラー垂直入射放射コレクタは、放射を受け、その放射をビームに集束させる。ソースコレクタモジュールは、真空環境を提供してプラズマを支持するように配置された囲い構造またはチャンバを含むことができる。そのような放射システムは、通常、レーザ生成プラズマ(LPP)源と呼ばれる。
[0008] EUV装置は、27nm以下のクリティカルディメンジョンを有するパターンを投影可能であり、5nm以下の精度を有するオーバーレイを達成可能であり得る。これらのクリティカルディメンジョンおよびオーバーレイで動作する際、パターンの投影中に基板を保持する基板テーブルは実質的に平坦であることが望ましい。というのは、著しい非平坦性を有する基板テーブルは、基板の屈曲を引き起こすおそれがあり、これはオーバーレイエラーおよび/またはフォーカスエラーにつながり得るからである。
[0009] 本明細書内またはそれ以外で特定されるか否かにかかわらず、従来技術の不利点を解消または軽減する基板テーブルを提供することが望ましい。
[0010] 本発明の第一の態様によれば、基部と該基部から突出する複数のバールとを備える基板テーブルあって、バールの上面は多層コーティングを備える、基板テーブルが提供される。
[0011] 本発明の第二の態様によれば、本発明の第一の態様の基板テーブルを備えるリソグラフィ装置が提供される。このリソグラフィ装置は、リソグラフィ投影装置であり得る。
[0012] 本発明の第三の態様によれば、多層コーティングを基板テーブル上に形成する方法が提供される。この方法は、原子層堆積を用いて第1材料層を基板テーブル上に形成することと、次に、原子層堆積を用いて第2材料層を第1材料層の上に形成することと、次に、任意に、原子層堆積によって追加の材料層を基板テーブル上に形成することと、を含む。
[0013] 本発明の第四の態様によれば、バールを基板テーブル上に形成する方法が提供される。この方法は、原子層堆積中に材料を受けとる部分を形成することであって、該部分は原子層堆積中に材料を受け取らない領域によって囲まれることと、次に、原子層堆積を用いて多層コーティングを前記部分上に形成し、それによってバールを基板テーブル上に形成することと、を含む。
[0014] 本発明の第五の態様によれば、基板テーブルのバール上のコーティングの上面にラフネスを付与する方法であって、プラズマエッチングを用いてコーティングをエッチングすることを含む、方法が提供される。
[0015] 本発明のいくつかの実施形態を、単なる例として、添付の概略図を参照して以下に説明する。これらの図面において同じ参照符号は対応する部分を示す。
[0016] 図1は、本発明の一実施形態に係るリソグラフィ装置を示す。 [0017] 図2は、DPPソースコレクタモジュールSOを含む、図1のリソグラフィ装置のより詳細な図である。 [0018] 図3は、LPPソースコレクタモジュールである、図1の装置の別のソースコレクタモジュールSOの図である。 [0019] 図4は、本発明の一実施形態に係る基板テーブルを示す。 [0020] 図5は、本発明の一実施形態に係る基板テーブルを形成するプロセスの一部を示す。 [0021] 図6は、図5の基板テーブルを形成するプロセスのさらなる一部を示す。 [0022] 図7は、図5の基板テーブルを形成するプロセスのさらなる一部を示す。 [0023] 図8は、本発明の別の実施形態に係る基板テーブルを形成するプロセスの一部である。 [0024] 図9は、図8のプロセスを使用して形成された基板テーブルを示す。
[0025] 図1は、本発明の一実施形態に係るソースコレクタモジュールSOを含むリソグラフィ装置100を概略的に示している。このリソグラフィ装置は、
[0026] 放射ビームB(例えば、EUV放射)を調整するように構成された照明システム(イルミネータ)ILと、
[0027] パターニングデバイス(例えば、マスクまたはレチクル)MAを支持するように構築され、かつパターニングデバイスを正確に位置決めするように構成された第1ポジショナPMに連結されたサポート構造(例えば、マスクテーブル)MTと、
[0028] 基板(例えば、レジストコートウェーハ)Wを保持するように構築され、かつ基板を正確に位置決めするように構成された第2ポジショナPWに連結された基板テーブル(例えば、ウェーハテーブル)WTと、
[0029] パターニングデバイスMAによって放射ビームBに付けられたパターンを基板Wのターゲット部分C(例えば、1つ以上のダイを含む)上に投影するように構成された投影システム(例えば、反射投影システム)PSと、を備える。
[0030] 照明システムとしては、放射を誘導し、整形し、または制御するための、屈折型、反射型、磁気型、電磁型、静電型、またはその他のタイプの光学コンポーネント、あるいはそれらのあらゆる組合せなどのさまざまなタイプの光学コンポーネントを含むことができる。
[0031] サポート構造MTは、パターニングデバイスの向き、リソグラフィ装置の設計、および、パターニングデバイスが真空環境内で保持されているか否かなどの他の条件に応じた態様で、パターニングデバイスMAを保持する。サポート構造は、機械式、真空式、静電式またはその他のクランプ技術を使って、パターニングデバイスを保持することができる。サポート構造は、例えば、必要に応じて固定または可動式にすることができるフレームまたはテーブルであってもよい。サポート構造は、パターニングデバイスを、例えば、投影システムに対して所望の位置に確実に置くことができる。
[0032] 「パターニングデバイス」という用語は、基板のターゲット部分内にパターンを作り出すように、放射ビームの断面にパターンを与えるために使用できるあらゆるデバイスを指していると、広く解釈されるべきである。放射ビームに付与されたパターンは、集積回路などのターゲット部分内に作り出されるデバイス内の特定機能層に対応し得る。
[0033] パターニングデバイスは、透過型であっても、反射型であってもよい。パターニングデバイスの例としては、マスク、プログラマブルミラーアレイ、およびプログラマブルLCDパネルが含まれる。マスクは、リソグラフィでは公知であり、バイナリ、レベンソン型(alternating)位相シフト、およびハーフトーン型(attenuated)位相シフトなどのマスク型、ならびに種々のハイブリッドマスク型を含む。プログラマブルミラーアレイの一例では、小型ミラーのマトリックス配列が用いられており、各小型ミラーは、入射する放射ビームを様々な方向に反射させるように、個別に傾斜させることができる。傾斜されたミラーは、ミラーマトリックスによって反射される放射ビームにパターンを付ける。
[0034] 照明システムなどの投影システムは、使われている露光放射にとって、あるいは真空の使用といった他の要因にとって適切な、屈折型、反射型、磁気型、電磁型、静電型、またはその他のタイプの光学コンポーネント、あるいはそれらのあらゆる組合せなどのさまざまなタイプの光学コンポーネントを含むことができる。EUVに対して真空を用いることが望ましいことがある。というのは、他のガスは放射を吸収し過ぎる場合があるからである。従って、真空壁および真空ポンプを用いて、真空環境をビーム経路全体に提供することができる。
[0035] 本明細書に示されているとおり、リソグラフィ装置は、反射型のもの(例えば、反射型マスクを採用しているもの)である。
[0036] リソグラフィ装置は、2つ(デュアルステージ)以上の基板テーブル(および/または2つ以上のマスクテーブル)を有する型のものであってもよい。そのような「マルチステージ」マシンにおいては、追加のテーブルは並行して使うことができ、または予備工程を1つ以上のテーブル上で実行しつつ、別の1つ以上のテーブルを露光用に使うこともできる。
[0037] 図1を参照すると、イルミネータILは、ソースコレクタモジュールSOから極端紫外線(EUV)放射ビームを受ける。EUV光を生成する方法としては、EUV範囲の1つ以上の発光線を用いて材料を少なくとも1つの元素、例えばキセノン、リチウム、またはスズを有するプラズマ状態に変換することが含まれるが、必ずしもこれに限定されない。レーザ生成プラズマ(「LPP」)と呼ばれることが多いそのような方法において、必要な線発光素子を有する材料の液滴、流れ、またはクラスタなどの燃料をレーザビームで照射することによって、必要なプラズマを生成することができる。ソースコレクタモジュールSOは、燃料を励起するレーザビームを供給するための図1に示されないレーザを含むEUV放射システムの一部であってよい。結果として得られるプラズマは、出力放射、例えばEUV放射を放出し、この出力放射は、ソースコレクタモジュール内に配置される放射コレクタを使用して集光される。例えば、CO2レーザを用いて燃料励起のためのレーザビームを供給する場合、レーザおよびソースコレクタモジュールは、別個の構成要素であってもよい。
[0038] そのような場合には、レーザは、リソグラフィ装置の一部を形成しているとはみなされず、また放射ビームは、レーザからソースコレクタモジュールへ、例えば、適切な誘導ミラーおよび/またはビームエキスパンダを含むビームデリバリシステムを使って送られる。その他の場合においては、例えば、放射源が、DPP源と呼ばれることが多い放電生成プラズマEUVジェネレータである場合、放射源は、ソースコレクタモジュールの一体部分とすることもできる。
[0039] イルミネータILは、放射ビームの角強度分布を調節するアジャスタを含むことができる。一般に、イルミネータの瞳面内の強度分布の少なくとも外側および/または内側半径範囲(通常、それぞれσ-outerおよびσ-innerと呼ばれる)を調節することができる。さらに、イルミネータILは、ファセット視野ミラーデバイスおよびファセット瞳ミラーデバイスといったさまざまな他のコンポーネントを含むことができる。イルミネータを使って放射ビームを調整すれば、放射ビームの断面に所望の均一性および強度分布をもたせることができる。
[0040] 放射ビームBは、サポート構造(例えば、マスクテーブル)MT上に保持されているパターニングデバイス(例えば、マスク)MA上に入射して、パターニングデバイスによってパターン形成される。パターニングデバイス(例えば、マスク)MAから反射された後、放射ビームBは投影システムPSを通過し、投影システムPSは、基板Wのターゲット部分C上にビームの焦点をあわせる。第2ポジショナPWおよび位置センサPS2(例えば、干渉計デバイス、リニアエンコーダ、または静電容量センサ)を使って、例えば、さまざまなターゲット部分Cを放射ビームBの経路内に位置決めするように、基板テーブルWTを正確に動かすことができる。同様に、第1ポジショナPMおよび別の位置センサPS1を使い、パターニングデバイス(例えば、マスク)MAを放射ビームBの経路に対して正確に位置決めすることもできる。パターニングデバイス(例えば、マスク)MAおよび基板Wは、マスクアライメントマークM1およびM2と、基板アライメントマークP1およびP2とを使って、位置合わせされてもよい。
[0041] 例示の装置は、以下に説明するモードのうち少なくとも1つのモードで使用できる。
[0042] 1.ステップモードにおいては、サポート構造(例えば、マスクテーブル)MTおよび基板テーブルWTを基本的に静止状態に保ちつつ、放射ビームに付けられたパターン全体を一度にターゲット部分C上に投影する(すなわち、単一静的露光)。その後、基板テーブルWTは、Xおよび/またはY方向に移動され、それによって別のターゲット部分Cを露光することができる。
[0043] 2.スキャンモードにおいては、サポート構造(例えば、マスクテーブル)MTおよび基板テーブルWTを同期的にスキャンする一方で、放射ビームに付けられたパターンをターゲット部分C上に投影する(すなわち、単一動的露光)。サポート構造(例えば、マスクテーブル)MTに対する基板テーブルWTの速度および方向は、投影システムPSの(縮小)拡大率および像反転特性によって決めることができる。
[0044] 3.別のモードにおいては、プログラマブルパターニングデバイスを保持した状態で、サポート構造(例えば、マスクテーブル)MTを基本的に静止状態に保ち、また基板テーブルWTを動かす、またはスキャンする一方で、放射ビームに付けられているパターンをターゲット部分C上に投影する。このモードにおいては、通常、パルス放射源が採用されており、さらにプログラマブルパターニングデバイスは、基板テーブルWTの移動後ごとに、またはスキャン中の連続する放射パルスと放射パルスとの間に、必要に応じて更新される。この動作モードは、前述の型のプログラマブルミラーアレイといったプログラマブルパターニングデバイスを利用するマスクレスリソグラフィに容易に適用することができる。
[0045] 上述の使用モードの組合せおよび/またはバリエーション、あるいは完全に異なる使用モードもまた採用可能である。
[0046] 図2は、ソースコレクタモジュールSOと、照明システムILと、投影システムPSとを含むリソグラフィ装置100をより詳細に示している。ソースコレクタモジュールSOは、真空環境をソースコレクタモジュールSOの囲い構造220内に維持することができるように構築および配置される。EUV放射放出プラズマ210は、放電生成プラズマ源によって形成することができる。EUV放射は、ガスまたは蒸気、例えば、Xeガス、Li蒸気またはSn蒸気によって生成することができ、非常に高温のプラズマ210が生成されて電磁スペクトルのEUV範囲の放射を放出する。非常に高温のプラズマ210は、例えば、少なくとも部分的にイオン化されたプラズマをもたらす放電によって生成される。Xe、Li、Sn蒸気または他の適切なガスまたは蒸気の、例えば10Paの分圧が、放射を効率よく発生させるために必要となり得る。一実施形態において、励起されたスズ(Sn)のプラズマを設けてEUV放射を生成する。
[0047] 高温のプラズマ210が放出する放射は、放射源チャンバ211の開口内または開口の後ろに位置決めされる任意のガスバリアまたは汚染物質トラップ230(場合によっては汚染物質バリアまたはフォイルトラップとも呼ばれる)を介して、放射源チャンバ211からコレクタチャンバ212内に送られる。汚染物質トラップ230は、チャネル構造を含み得る。また、汚染物質トラップ230は、ガスバリア、またはガスバリアとチャネル構造の組合せを含み得る。本明細書でさらに示される汚染物質トラップまたは汚染物質バリア230は、当該技術分野で公知のように、チャネル構造を少なくとも含む。
[0048] コレクタチャンバ211は、いわゆるかすめ入射コレクタとすることができる放射コレクタCOを含み得る。放射コレクタCOは、上流放射コレクタ側251と下流放射コレクタ側252とを有する。コレクタCOを横切る放射は、格子スペクトルフィルタ240で反射されて仮想放射源点IFに集束することが可能である。仮想放射源点IFは、一般に中間焦点と呼ばれ、ソースコレクタモジュールは、中間焦点IFが囲い構造220の開口221に、または開口221の付近に位置するように配置される。仮想放射源点IFは放射放出プラズマ210の像である。
[0049] その後、放射は照明システムILを横切る。照明システムILは、パターニングデバイスMAにおける放射ビーム21の所望の角度分布およびパターニングデバイスMAにおける放射強度の所望の均一性を与えるように配置されたファセット視野ミラーデバイス22およびファセット瞳ミラーデバイス24を含み得る。サポート構造MTによって保持されるパターニングデバイスMAで放射ビーム21が反射されると、パターン形成されたビーム26が形成される。パターン形成されたビーム26は、投影システムPSによって、反射要素28、30を介して、ウェーハステージまたは基板テーブルWTによって保持された基板W上に結像される。
[0050] 一般に、図示された要素より数の多い要素が照明光学ユニットILおよび投影システムPSに存在してよい。格子スペクトルフィルタ240は、リソグラフィ装置のタイプによって任意で存在してよい。さらに、図示されたミラーより数の多いミラーが存在してよい。例えば、図2に示すものと比較して、投影システムPS内に追加の1つ〜6つの反射要素が存在してよい。
[0051] 図2に示すコレクタ光学系COは、コレクタ(またはコレクタミラー)の単なる一例として、かすめ入射リフレクタ253、254および255を有する入れ子式コレクタとして描かれている。かすめ入射リフレクタ253、254および255は、光軸Oの周りで軸方向に対称的に配置され、このタイプのコレクタ光学系COは、(DPP源と呼ばれることが多い)放電生成プラズマ源と組み合わせて使用されることが好ましい。
[0052] あるいは、ソースコレクタモジュールSOは、図3に示すように、LPP放射システムの一部とすることができる。レーザLAは、キセノン(Xe)、スズ(Sn)、またはリチウム(Li)などの燃料内にレーザエネルギーを堆積させるように配置され、それによって電子温度が数10eVの高電離プラズマ210が生成される。イオンの脱励起および再結合中に生成されたエネルギー放射は、プラズマから放出され、囲い構造220において近垂直入射コレクタ光学系COによって集光され、開口221上に集束される。
[0053] 基板テーブル(図1および図2を参照)は複数の突出を含み、これらの突出は基板テーブルの上面から上方に延在する。突出は、一般にバールと呼ばれる。従来技術において知られているバールは、基板テーブルWTと基板Wとの接触領域を減少させる。さらに、バールは、コンタミ粒子が落下し得る空間を提供し、それによって、基板テーブルWTと基板との間で閉じ込められたコンタミ粒子によって基板Wがゆがむ可能性を低減させる。
[0054] 本発明を具現化する基板テーブルWTの一部が図4に概略的に示されている。基板テーブルは、基部300とバール301とを備え、バール301は基部から上方に延在する。バール301は、例えば、2〜3mm互いに離れてよい(または他の隔離距離を有し得る)。基板テーブルは、ドイツ、マインツのSchott AGから入手可能なAF32ガラスから形成することができる。基部300の上面およびバールの上面は、パルスレーザ蒸着(PLD)を用いて形成されている多層コーティング302によって覆われる。バール301のうちの1つの上に設けられた多層コーティング302が、図4の右側の拡大図に示されている。
[0055] 多層コーティング302は、TiNの4層310、311と、Tiの4層313とを含み、コーティングの上層はTiN310であり、コーティングの下層はTi313である。Ti層313の各々は、同一の厚さを有し得る(しかし、別々の厚さを有しても良い)。上層310が例外であるものの、TiN層311の各々は、同一の厚さを有し得る(しかし、別々の厚さを有しても良い)。図4に概略的に示すように、TiNの上層310は、TiNの他の層311より大きい厚さを有し得る。一実施形態において、TiN層311(上層310を除く)は、例えば、それぞれ30nmの厚さを有し得る。TiNの上層310は、例えば、100nmの厚さを有し得る。Ti層313は、例えば、それぞれ30nmの厚さを有し得る。
[0056] パルスレーザ蒸着(PLD)は、材料層を形成可能であり、材料層は30nmの最小厚さを有する。これは、上記の30ナノメートルの厚さを生じさせる。しかし、PLDは30nmを超える厚さを有する材料層を形成可能であり、従って、多層コーティング302の層は30nmを超える厚さを有し得る。多層コーティング302の異なる層は、異なる厚さを有し得る。
[0057] 図4に示す多層コーティング302は8層を有しているが、多層コーティングはあらゆる適切な数の層を有してよい。層の数は、例えば、最小で2つであってよく、最大で64以上であってよい。多層コーティングは、例えば、10以上の層、20以上の層、または30以上の層を含んでよい。例えば、約1ミクロンの厚さを有する多層コーティングを形成することが望ましい場合がある。この場合、上層の厚さが100nmであり、残りの層の厚さが30nmであれば、多層コーティング302はおよそ30層を含み得る。これにより、Tiの15層(各層の厚さは30nm)と、TiNの15層(TiNの14層の厚さは30nm、15番目の層(上層)の厚さは100nm)とが含まれるであろう。
[0058] 多層コーティング302は図4においてバールの側面に設けられていないが、多層コーティングはバールの側面に設けられてよい。
[0059] リソグラフィ装置において、1時間当たり多数の基板(例えば、1時間当たり60から200の基板)にパターン形成することができる。基板にパターン形成する場合、基板は、最初に基板テーブルWT上に配置される必要がある。パターン形成されると、基板は基板テーブルWTから除去され、パターン形成される新しい基板と交換される。時間をかけて何千もの基板が基板テーブルWT上に配置され、基板テーブルから除去される。これにより、基板テーブルWTのバール301が摩耗することになる。これは、ひいては、基板テーブルの平坦性を低減させる可能性があり、結果として、リソグラフィ装置のオーバーレイ精度を低減させるおそれがある。多層コーティング302はAF32ガラスより非常に硬く、従って、非常により高い耐摩耗性を示す。従って、基板が基板テーブルWT上に配置され、基板テーブルから除去されることによるバールの摩耗は、多層コーティング302が基板テーブル上に存在する場合に低減する。
[0060] 基板テーブル上のTiNコーティングが基板によって経時的に摩耗するようなことがあっても、基板テーブルのAF32ガラスが露出することになる。AF32ガラスはTiNより非常に軟らかいので、基板テーブルWTのAF32ガラスは露出するとすぐに摩耗し、基板テーブルの許容できない非平坦性につながるであろう。この理由から、TiNコーティングを厚いコーティング(例えば、1ミクロンのTiN層)として設けることが望ましい。ただし、TiNを厚いコーティングとして設ける場合、これにより残留応力(内部応力と呼ばれることもある)が発生することがあり、この残留応力はコーティングの破損の原因となり得る程度に大きい。
[0061] 単一層でなく多層コーティング302を基板テーブルWT上に設ける利点は、コーティングの破損無しにコーティングをより厚く形成できることである。残留応力は多層コーティング302に存在する可能性があり、これによりコーティングにクラックが形成されることがあるものの、クラックは多層コーティングの層間に広がり、コーティングの層間の界面でエネルギーを消散させる。多層コーティング302の上方に進む、層間の界面におけるクラックの消散エネルギーは、多層コーティングの上層に損傷を与えるのに十分なエネルギーによってその上層にクラックが到達するのを防ぐのに役立つ。これは、上層の損傷を防ぎ、または低減させ、耐疲労性を向上させるのに役立ち、それによって(同一の厚さを有する単一層コーティングの寿命と比較して)上層の寿命が延びる。
[0062] 基板が基板テーブルWT上に配置されると、基板上へのパターンの露光の前に、基板は基板テーブルに対して静電的にクランプされる。基板が基板テーブルWTに対して静電的にクランプされると、ウェーハテーブル上のバールは、クランプすることによって生じた負荷を被る。この負荷は、単一層コーティングよりも多層コーティング302によってより容易に担うことができる。
[0063] 多層コーティング302は、例えば、100nmを上回る厚さを有し得る。多層コーティング302は、例えば、1ミクロン未満の厚さを有し得る。多層コーティング302は、例えば、1ミクロンから2ミクロンの厚さを有し得る。多層コーティング302は、例えば、2ミクロンを超える厚さを有し得る。
[0064] 多層コーティング302において、TiN310、311はTi313より硬く堅牢である。この硬さおよび堅牢性の差は、多層コーティング302の構造を決定する際に考慮され得る。例えば、コーティングの上層310は、その硬さを利用するために、TiNから形成することができる(TiNは、Tiより耐摩耗性が高い)。Tiは、TiNより効果的に基板テーブルWTに接着することができる。この理由から、多層コーティング302の最下層はTiから形成することができる。
[0065] 多層コーティング302は、基板Wが基板テーブルWT上に配置される際に多層コーティングが所定の圧縮を受け、そして当初の構成に戻るように所定の弾性を有することが望ましい場合がある。これにより、基板Wが基板テーブルWT上に配置される際に所定の緩衝性がもたらされ、これは多層コーティング302への損傷を低減させる(それによって多層コーティングの耐久性を向上させる)のに役立ち得る。また、基板が基板テーブルにクランプされた後、多層コーティングが当初の構成に正しく戻らないほど多層コーティング302が軟らかいことを避けることが望ましい場合がある。(これは、基板テーブルの平坦性に悪影響を及ぼすであろう。)多層コーティング302において、TiはTiNより軟らかく、多層コーティングに弾性をもたらす。TiNはTiより硬く、堅牢性をもたらす。より弾性の高い多層コーティング302を設けることが望ましい場合、TiNに対するTiの割合を増加させればよい。より硬く弾性の低い多層コーティング302を設けることが望ましい場合、TiNに対するTiの割合を低減させればよい。
[0066] 複数の例の多層コーティングを形成することができ、それらのコーティングの特性を試験することができる。多層コーティングを形成し試験する反復プロセスを用いて所望の特性を有する多層コーティングを得ることができる。異なる多層コーティングが、例えば、異なる基板テーブル上に設けられてよく、所定の時間にわたるコーティングの耐摩耗性を監視すればよい。また、多層コーティングの寿命(例えば、多層コーティングが修理または交換される必要がある前の時間)を監視すればよい。
[0067] 多層コーティング302のTiN上層310は、時間とともに摩耗する。TiNの上層310が摩耗すると、Tiの最上層313は露出する。TiはTiNより非常に軟らかいため、TiNより早く摩耗し、これは、おそらく基板テーブルWTの問題(例えば、非平坦性)を招くであろう。この理由により、TiNの上層310は、多層コーティング302の他の層より厚く形成され得る。TiNの上層は、例えば約50nm以上の厚さを有してよく、または、例えば約100nm以上の厚さを有してよい。TiNの上層が摩耗したら、新しいTiNを塗布して交換用のTiNの上層を形成すればよい。
[0068] 別の実施形態において、多層コーティングは、(例えば、図4に示す形態において)TiNおよびTiから形成される代わりに、CrNおよびCrから形成され得る。CrNおよびCrの特性はTiNおよびTiの特性に類似している。すなわち、CrNはCrより硬く、CrはCrNより高い弾性をもたらす。従って、CrNおよびCrの多層コーティングは、TiNおよびTiの多層コーティングと類似の方法で形成することができる。例えば、CrN層を上層として設けてよく、一連のCr層およびCrN層を上層の下に設けてよい。下層はCrとすることができる。というのは、これは、CrNと比較して、基板テーブルWTへの効率的な接着をもたらし得るからである。
[0069] CrNは、TiNより低い残留応力(内部応力と呼ばれることもある)を有し、従って、TiNより厚い層として設けられ得る。それでもやはり、上述の理由により(例えば、クラックが最上層に到達する前に、弾性をもたらしクラックのエネルギーを消散させるために)、多層コーティングのCrNおよびCrを設けることが望ましいことがある。コーティングの特性は、適切な割合のCrNおよびCrを用いることによって選択することができる。CrNは微小硬さを有するので、場合によってはTiNより望ましくないことがある。
[0070] 一実施形態において、CrN層(上層以外)は、例えば、それぞれ30nmの厚さを有し得る。Cr層は、例えば、それぞれ30nmの厚さを有し得る。
[0071] CrN/Cr多層コーティングは、パルスレーザ蒸着(PLD)を用いて形成することができる。上述のとおり、PLDは、30nmの最小厚さを有する材料層を形成可能である。これは、上記の30ナノメートルの厚さを生じさせる。しかし、多層コーティングの層は30nmを超える厚さを有し得る。多層コーティング302の異なる層は、異なる厚さを有し得る。
[0072] CrNの残留応力はTiNの残留応力より小さいので、同等の構造において、CrNの上層は、TiNの上層より厚くなり得る。CrNの上層は、例えば約100nm以上の厚さを有してよく、例えば約200nm以上の厚さを有してよく、または、例えば約500nm以上の厚さを有してよい。CrNの上層は、例えば約1ミクロンの厚さを有してよい。CrNの上層が摩耗したら、新しいCrNを塗布して交換用のCrNの上層を形成すればよい。
[0073] 多層コーティングは、例えば200nmを上回る厚さを有し得る。多層コーティングは、例えば1ミクロン未満の厚さを有し得る。多層コーティングは、例えば1ミクロンから2ミクロンの厚さを有し得る。多層コーティングは、例えば2ミクロンを超える厚さを有し得る。
[0074] CrNおよびCrの多層コーティングは、あらゆる適切な数の層を有し得る。層の数は、例えば、最小で2つであってよく、最大で64以上であってよい。多層コーティングは、例えば、10以上の層、20以上の層、または30以上の層を含み得る。
[0075] 多層コーティング302は、CrN(またはTiN)の2層またはそれ以上の層およびCr(またはTi)の2層またはそれ以上の層から成り、もしくはCrN(またはTiN)の4層またはそれ以上の層およびCr(またはTi)の4層またはそれ以上の層から成り、もしくはCrN(またはTiN)の16層またはそれ以上の層およびCr(またはTi)の16層またはそれ以上の層から成り得る。TiのTiNに対する比率、またはCrのCrNに対する比率は、1:1であってよく、または、(上述した特性を考慮して)他の比率であってよい。
[0076] CrNまたはTiNは、小さいサイズの柱状結晶および高い欠陥密度を有し得る。これらは、CrNまたはTiNの硬度を高め得る。CrまたはTiは、柱状構造を備えることがあり、(CrNまたはTiNと比較して)大きく、少ない欠陥を有し、これによってコーティングの靭性(toughness)が向上し得る。
[0077] 多層コーティング302は、従来の基板テーブルコーティングの粒子より小さい粒子を含み得る。より小さいこれらの粒子は、(高温で単一コーティングを形成する従来のプロセスとは対照的に)多層コーティングを室温で形成することによって作りだすことができる。多層コーティングのより小さい粒子は、(より大きい粒子を有する従来形成されてきたコーティングと比較して)多層コーティングの耐久性を向上させ得る。一般に、多層コーティング302は、単一コーティングより優れたトライボロジー特性をもたらし得る。
[0078] 上記のとおり、多層コーティング302は、例えば、PLDは室温で行われ得るパルスレーザ蒸着(PLD)を用いて形成することができる。多層コーティングを形成するために用いることができるPLD装置は、米国、マサチューセッツのPVD Product Inc.から入手可能である。これは、PVDまたはCVDコーティング技術より望ましい場合がある。というのは、それらの技術は、基板テーブルの温度が200°Cを上回ることを必要とし得るからであり、これは、基板テーブルWTに対して損傷を与え得る。PLDは、The Bulletin of the Polish Academy of Sciences, Technical Sciences, Vol. 54, No. 2, 2006に記載されている。PLDは、レーザを用いて材料をセラミック/金属ターゲットから剥離し、結果として得られる材料のプルーム(plume)を基板(例えば、基板テーブルWT)上に誘導する。ターゲットは、例えば、高純度Ti(99.9%Ti)または高純度Cr(99.9%Cr)であり得る。レーザビームは、パルスレーザビームであり、例えば、50Hzの反復周波数において0.6Jパルスエネルギーおよび10ナノセカンドパルス長で、1064nmで動作するNd:YAGレーザであり得る。パルスレーザビームは、真空または低圧ガス環境(例えば、10−5mbar4Paの圧力)においてアブレーションを介して表層を蒸発させるためにターゲット上に集束する。蒸発した材料は、原子、イオン、および原子クラスタから成り、基板テーブルWT上に蒸着するのはこうした材料である。基板テーブルWTは、例えば、ターゲット面と平行に取り付けられ得る。30sccmの連続したガス流を用いて材料を基板テーブルWTに移動することができる。基板テーブルWTは、例えば、基板テーブルにわたって均一の材料厚さを得るために、堆積中、プラズマプルームを介して移動され得る。Ti層またはCr層を形成する際、蒸着は、Ar雰囲気において行われ得る。TiN層またはCrN層を形成する際、蒸着は、N雰囲気において行われ得る。
[0079] PLDは、室温で、高い化学純度および良好な付着性を有するさまざまな材料の薄膜を異なる基板材料上に蒸着させることができる。室温でPLDを行う場合、基板テーブルWTのAF32ガラスは損傷せず、基板テーブルWTの構築中に用いられてきた、いかなる接着も劣化しない。
[0080] 別の実施形態において、原子層堆積(ALD)を用いて多層コーティング3020を形成することができる。所定の温度で多層コーティングを形成するために用いられ得るプラズマALDシステムが現在利用可能であり、この温度は、基板テーブルWTに損傷を与えない(例えば、室温)程度に十分低い。多層コーティングは、例えば、FlexAlADLシステムを用いて形成することができる。このシステムは、英国、オックスフォードシャーのOxford Instrumentsから入手可能である。
[0081] 図5〜図7に概略的に示す例示的な方法において、原子的に平坦なAF32ガラス基板を、従来の化学エッチングプロセス(例えば、フッ化水素エッチング)を用いてエッチングして、バールを基板テーブル上に形成する。バール401を含む基板テーブルWTの上面および断面が図5に概略的に示されている。
[0082] 多層コーティングが基板テーブル上に形成されることを可能にするために、基板テーブルWTは、ALD装置(例えば、FlexAlシステム)のチャンバに配置される。基板テーブルWTは、第1ガス前駆体分子にさらされ、この第1ガス前駆体分子は、元素の蒸気または該元素の揮発性化合物であり得る。第1ガス前駆体分子は、例えば、TiClであってよく、液体窒素温度で凍結され、かつ1mTorrまで排気したガラス管にTiClを供給することによって生成することができる。室温まで復温すると、管からのTiCl蒸気は、時間真空弁を介してALD装置のチャンバ内に進んで、30〜100mTorrのチャンバ内の作動圧力をもたらす。TiClの流れは、反応物質の単一層が基板テーブルWTの表面上に化学吸着されるのに十分な時間にわたって発生し得る。例えば、基板テーブルWTは、TiClの>10ラングミュア(1ラングミュア=1単一層等価流束であり、これは1秒間の10−6Torrの圧力にほぼ匹敵する)にさらすことができる。そして、気相にある、または基板テーブルWTの表面上に物理吸着されている反応物質の超過量は、チャンバを排気すること(または不活性ガスを用いること)によってチャンバから除去される。
[0083] 次に、基板テーブルWTを第2反応物質にさらし、この第2反応物質は、例えば、原子水素であり得る。原子水素は、ゲート弁を介してチャンバに接続され、リーク弁を介して水素ガス供給から送り込まれる石英管に水素ガスを供給することによって生成され得る。石英管を、300〜1200Wの電力において13.56MHzで電力供給された多重コイルで巻くことができる。管の底において1〜3mmの開口を用いて、原子水素を管に限定することができる。他の原子水素原が用いられてよい。原子水素がチャンバに供給されると、原子水素は基板テーブルWTの表面上に化学吸着され、基板テーブル表面上の第1反応物質(例えば、TiCl)との交換反応を起こす。これにより、固体分子膜(例えば、Ti膜)およびガス副生成物が形成される。原子水素流は、所定時間後に停止し、この時間は基板テーブルの表面にわたって化学吸着および交換反応が起こることを可能にするのに十分な程度である。その後、チャンバは、基底圧に戻ることが可能である。
[0084] 物理吸着は、弱いファンデルワールス力を介して物質が表面に接着することを指す。化学吸着は、物質と表面との間の比較的強い化学結合の形成を意味し、これは活性化エネルギーを必要とし得る。(ALDは室温で行われ得るものの)活性化エネルギーは、基板を所望の温度まで加熱することによってもたらされ得る。ALDは化学吸着を用いるので、ALDプロセスは、自己制御的であり、圧力および基板の変化の影響を受けず、1つの原子層または分子層のみを一度に表面上に吸着することができる。
[0085] ALDプロセスによって、基板テーブルWTの表面上に所望の材料(この場合、Ti)の単一原子層または分子層が設けられる。従って、所望の材料の1つの原子層または分子層しか基板テーブルWTの表面上に設けられないので、ALD堆積は、自己限定的であるとみなされ得る。このプロセスは、所望の厚さを有する材料(例えば、Ti)の層を設けるために、所望の回数繰り返され得る。ALDプロセスの自己限定的性質によって、ALDを用いて形成される層の厚さを厳格に制御することが可能になる。図6は、所望の厚さを有するTi層313が設けられた上面を有する基板テーブルWTを概略的に示している。Ti層313の厚さは厳格に制御可能であるので、該層は、例えば30nm未満の厚さを有し得る。該層は、例えば30nmを超える厚さを有し得る。
[0086] 多層コーティングの第1層313が形成されると、次に、多層コーティングの第2層がALDを用いて形成することができる。第2層は、例えば、第1層に関連して上述したプロセスを用いてTiNから形成することができる。この場合、TiN層の前駆体は、TiClおよびNHであり得る。TiN層について用いられ得る他の前駆体は、RSC Publishingが発行したA.C. JonesおよびM.L. Hitchmanの編集によるChemical Vapour Deposition Precursors, Processes and Applicationsの424頁の表9.5に列挙されている。TiN層の厚さは厳格に制御可能であるので、この層は、例えば、30nm未満の厚さを有し得る。この層は、例えば、30nmを超える厚さを有し得る。
[0087] 図7は、Tiの単一層313およびTiNの単一層311が設けられている上面を有する基板テーブルWTを概略的に示している。
[0088] 所望の数の層を有する多層コーティングが形成されるまで、ALDを用いて一連の層を基板上に形成することができる。多層コーティングの最上層を形成する際、(より厚い最終層が望まれる場合)多層コーティングに最終層を他の層より厚くするために、ALDプロセスをより多くの回数繰り返すことができる。
[0089] ALDによって、非常に平坦な面を形成することができ、それによって基板テーブルWTの非平坦性によるオーバーレイエラーを低減させる、または解消するという利点がもたらされる。
[0090] ALDを用いて基板テーブルWT上に多層コーティング302を形成する利点は、コーティングが基板テーブルWTの平均曲げ強度およびワイブル率を高め得ることである。
[0091] ALDを用いて多層コーティング302を形成することは、比較的緩やかであり得る。しかし、ALDプロセスのスループットは、同一のALDチャンバを用いるのと同時に複数の基板テーブルを覆うことによって向上させることができる。
[0092] 基板Wと基板テーブルWTとの間の付着によってウェーハが変形することがある。基板Wの変形は、例えば、基板と基板テーブルとの間の接着が基板テーブルに対する基板の移動を妨げる場合、基板を基板テーブルWTに対してクランプする間に起こり得る。基板Wと基板テーブルWTとの間の接着は、多層コーティング302の上層311を形成するために用いられる材料に依存し、また、上層の表面ラフネスに依存する。
[0093] 基板テーブルの表面の高い平坦性を維持すると同時に基板Wと基板テーブルWTとの間の望ましくない付着量を回避するために、多層コーティング302の上面に所定の表面ラフネスを付与することが望ましい。この状況では、付着は、基板テーブルWTに対する基板Wの移動を抑制する力を意味するとみなされることがあり、基板と基板テーブルとの間で作用するファンデルワールス力を含む。多層コーティング302の上面に所定のラフネスを付与することによって、基板と基板テーブルとの間で作用するファンデルワールス力が低減する。
[0094] 本発明の一実施形態において、(例えば、PLDまたはALDを用いて)多層コーティング302が形成されると、プラズマエッチングを用いて多層コーティング302の上面に所望のラフネスを付与することができる。プラズマエッチングは、例えば、誘導結合プラズマエッチング、反応性イオンエッチング、またはプラズマ利用化学エッチングとすることができる。
[0095] プラズマエッチングを用いてラフネスを付与するために、最初に、所望のラフネスのピッチに対応するピッチを有するパターンが、(例えば、リソグラフィ装置を用いて)基板テーブルWTに塗布されたレジスト上に投影することができる。そして、レジストを現像し、次に、選択的プラズマエッチングを用いて、レジストの未露光部分をエッチングし、多層コーティングの上層をエッチング形成することができる。このように、プラズマエッチングによって、所望のラフネスを付与するパターンで上層をエッチング形成する。このラフネスを付与するパターンのピッチは、例えば、3〜7nmの範囲であってよく、または、他の適切な値を有してよい。エッチングの後、例えばアセトンを用いてレジストを除去する。
[0096] プラズマエッチングは、多層コーティング302の上面に実質的に均一なラフネスを付与し、それによって、別々のラフネスが基板テーブルWT上の別々の位置に設けられた場合(例えば、研磨を用いて表面ラフネスを得た場合)に生じ得る問題が回避されるという利点を有する。
[0097] 上記のとおり、プラズマエッチングは、例えば、誘導結合プラズマとすることができる。これは、例えば、米国、サンタクララのApplied Materials Inc.から入手可能なCentura−DPS装置を用いて行うことができる。プラズマは、例えば、Ar/CHF、Ar/Cl、またはAr/BClとすることができる。これらのうち、Ar/Clは、高いエッチング速度および非テーパエッチングプロファイルを得るために最も効率的なものであり得る。エッチング装置は、例えば、13.56MHzRFの電気で励起されたプラズマ源を用いることができる。上方電極に供給される電力は、例えば、1000Wとすることができ、下方電極に供給される電力は、例えば、85Wとすることができる。エッチング中の全ガス流は、例えば120sccmとすることができ、下方電極の温度は、例えば85°Cとすることができる。誘導結合プラズマエッチングは、J. Tonotani他による“Dry etching characteristics of TiN film using Ar/CHF3, Ar/Cl2, and Ar/BCl3 gas chemistries in an inductively coupled plasma”, J. Vac. Sci. Technol. 2163, B21(5), Sep/Oct 2003に記載されている。
[0098] 上記のとおり、プラズマエッチングは、例えば、反応性イオンエッチングとすることができる。これは、例えば、英国、ニューポートのSPTSから入手可能なSTS320反応性イオンエッチングシステムを用いて行うことができる。エッチングに使用されるプラズマを生成するために用いられるガスは、例えばCF(例えば、28sccm)に加えてO(例えば、2sccm)とすることができ、または、例えばCHF(例えば、28sccm)に加えてO(例えば、2sccm)とすることができる。少量のOは、エッチング中、炭化フッ素の残渣の堆積を減少させることに役だち得る。装置のプラズマ源は、例えば、200〜400Wの範囲の電圧を印加して、13.56MHzRFの電気によって励起され得る。装置の陰極は、例えば、再循環流体を用いて20℃まで連続して冷却され得る。基板テーブルが配置されるチャンバは、例えば、50mTorrの定圧で保持され得る。反応性イオンエッチングは、P.W. Leech他による“Reactive ion etching of TiN, TiAlN, CrN and TiCN Films in CF4/O2 and CHF3/O2 Plasmas.”, Mater. Res. Soc. Symp. Proc. Vol. 890, 2006 Materials Research Societyに記載されている。
[0099] 上記のとおり、プラズマエッチングは、例えば、プラズマ利用化学エッチング(PACE:plasma assisted chemical etching)とすることができる。PACEは、光学面の成形および研磨が可能な非機械的プロセスとして、1985年に米国、コネチカットのPerkin-Elmer Corporationによって開発された。しかし、本発明の一実施形態において、PACEは、表面を研磨するのではなく多層コーティング302の表面に所望のラフネスを付与するために用いられる。PACEにおいて、プラズマ励起反応ガスは、エッチングされている材料の表面と化学的に結合して、予測可能かつ制御可能な量の表面を除去する揮発性生成物を生成する。多層コーティングの表面がTiNである場合、プラズマは、例えばCF/OまたはCHF/Oとすることができる。多層コーティングの表面がCrNである場合、プラズマは、例えばCF/Oとすることができる。プラズマ利用化学エッチングを提供するために用いられる装置は、例えば、参照により本明細書に組み込まれる、US5,298,103に記載されたようなものとすることができる。
[00100] 一実施形態において、PACEを用いて、(上述のとおり)パターンを設けるためにレジストが用いられている表面から材料を除去してよい。あるいは、PACEを用いて、レジストに設けられたパターンを用いずにラフネスを表面に付与してよい。PACEは、局所的なエッチングを提供することが可能であり、従って、異なる位置でさまざまな深さに対するエッチングを提供するようにプログラムされ得る。位置の関数としてのエッチングの深さは、所望のラフネスを付与するように選択され得る。
[0100] プラズマエッチングを用いて、単一層コーティングの上面に所望のラフネスを付与してよい。
[0101] 基板テーブルに多層コーティングを設ける別の方法が、図8および図9に概略的に示されている。この方法において、層は、単にコーティングをバール上に形成するために用いられるのではなく、バール自体を形成するために用いられる。
[0102] 図8を参照すると、基板テーブルWTの上面は、疎ALD性領域(ALD-phobic regions)502によって囲まれた親ALD性部分(ALD-philic sites)501を備えている。疎ALD性という用語は、ALDプロセスによって層が形成されない表面を指すと解釈され得る。親ALD性という用語は、ALDプロセスによって層が形成され得る表面を意味すると解釈され得る。この構成は、疎ALD性層を基板テーブルWTに形成し、次に(材料依存性プラズマエッチングを用いて)基板テーブルWTのAF32ガラスが所望の位置で露出するようにそれらの位置において疎ALD材料をエッチングすることによって実現される。AF32ガラスは親ALD性であり、従って、疎ALD性材料をエッチングすることにより、図8に示す構成が得られる。疎ALD性領域の形成は、Robin H. A. Ras, Elina Sahramo, Jari Malm, Janne RaulaおよびMaarit Karppinenによる“Blocking the Lateral Film Growth at the Nanoscale in Area-Selective Atomic Layer Deposition”, J. AM. CHEM. SOC. 2008, 130, 11252-11253に記載されている。
[0103] 一実施形態において、疎ALD性材料は、ポリマー膜を基板テーブルWT上に設けることによって形成され得る。バールが形成されない領域が放射にさらされるようにリソグラフィを用いてポリマー膜にパターン形成してよく、それによりポリマーは架橋し固体領域を形成する。そして、露出しないポリマーを基板テーブルWTから除去してよく、それによって、バールが形成される開口が残る。次に、自己組織化モノマーを基板テーブルWTに塗布してよく、自己組織化モノマーは固体領域の表面に付着するが、バールが形成される開口には付着しない。これは、自己組織化モノマーが固体領域のみに付着するように機能化されるからである。自己組織化モノマーは疎ALD性を有することができ、自己組織化モノマーが設けられる位置においてALD化学作用を妨げる。これにより、ALDが用いられて開口にバールを形成し得るように、ALDを用いて材料層を形成することを防ぐ。疎ALD性材料を用いて基板を選択的にパターン形成することは、Robin H. A. Ras, Elina Sahramo, Jari Malm, Janne RaulaおよびMaarit Karppinenによる“Blocking the Lateral Film Growth at the Nanoscale in Area-Selective Atomic Layer Deposition”, 11252 9 J. AM. CHEM. SOC. 2008, 130, 11252-11253に記載されている。
[0104] 基板テーブルWTが疎ALD性領域502によって囲まれた親ALD性部分501を備えると、基板をALD装置(例えば、FlexAlシステム)のチャンバに配置する。上述したALDプロセスを用いて、多層構造の第1層、例えばTi層513を、親ALD性部分501上に形成する。そして、ALDプロセスを用いて、TiN層511をTi層513の上に形成する。これを、多層構造が基板テーブルWT上に形成されるまで継続し、この多層構造は、多層構造がバールとして機能することを可能にするのに十分な高さを有する。これは図9に概略的に示されており、バール503はTi層613およびTiN層511によって形成される。Tiの2層513およびTiNの2層511が示されているが、バールはあらゆる適切な数の層を含んでよい。バール503は、例えば、約10ミクロンの高さ(または他の適切な高さ)を有し得る。ALDを用いるTiN膜およびTi膜の形成は、参照により本明細書に組み込まれる、US2004/221,798に記載されている。
[0105] バール503の上層が形成されると、プラズマ利用化学エッチングを用いてバールの上面に所望のラフネスを付与することができる。
[0106] 一実施形態において、バールはAF32ガラスから部分的に形成されてよく、上述したALDプロセスを用いて高さが延長されてよい。
[0107] TiN、Ti、CrN、およびCrの利点は、これらの材料がわずかなガス放出量しか示さないことであり、従って、EUVリソグラフィ装置に存在する真空度を低下させない。
[0108] 上述の実施形態において、基板テーブルWTはAF32ガラスから形成される。AF32ガラスは、シリコンの熱膨張係数に匹敵する熱膨張係数を有しており、約600℃までの熱に耐えることができるので、リソグラフィの用途において有用である(ただし、基板テーブルWTの構築に用いられる接着剤が上記温度で損傷を受けることがある)。しかし、基板テーブルは、他の適切なガラスから、または他の適切な非ガラス材料から形成されてよい。
[0109] 本明細書において、IC製造におけるリソグラフィ装置の使用について具体的な言及がなされているが、本明細書記載のリソグラフィ装置が、集積光学システム、磁気ドメインメモリ用のガイダンスパターンおよび検出パターン、フラットパネルディスプレイ、液晶ディスプレイ(LCD)、薄膜磁気ヘッド等の製造といった他の用途を有し得ることが理解されるべきである。当業者にとっては当然のことであるが、そのような別の用途においては、本明細書で使用される「ウェーハ」または「ダイ」という用語はすべて、それぞれより一般的な「基板」または「ターゲット部分」という用語と同義であるとみなしてよい。本明細書に記載した基板は、露光の前後を問わず、例えば、トラック(通常、基板にレジスト層を塗布し、かつ露光されたレジストを現像するツール)、メトロロジーツール、および/またはインスペクションツールで処理されてもよい。適用可能な場合には、本明細書中の開示内容を上記のような基板プロセシングツールおよびその他の基板プロセシングツールに適用してもよい。さらに基板は、例えば、多層ICを作るために複数回処理されてもよいので、本明細書で使用される基板という用語は、すでに多重処理層を包含している基板を表すものとしてもよい。
[0110] 光リソグラフィの関連での本発明の実施形態の使用について上述のとおり具体的な言及がなされたが、当然のことながら、本発明は、他の用途、例えば、インプリントリソグラフィに使われてもよく、さらに状況が許すのであれば、光リソグラフィに限定されることはない。インプリントリソグラフィにおいては、パターニングデバイス内のトポグラフィによって、基板上に創出されるパターンが定義される。パターニングデバイスのトポグラフィは、基板に供給されたレジスト層の中にプレス加工され、基板上では、電磁放射、熱、圧力、またはそれらの組合せによってレジストは硬化される。パターニングデバイスは、レジストが硬化した後、レジスト内にパターンを残してレジストの外へ移動される。
[0111] 「レンズ」という用語は、文脈によっては、屈折、反射、磁気、電磁気、および静電型光学コンポーネントを含む様々な種類の光学コンポーネントのいずれか1つまたはこれらの組合せを指すことができる。
[0112] 「EUV放射」という用語は、5〜20nmの範囲内、例えば、13〜14nmの範囲内、または例えば、6.7nmや6.8nmなどの5〜10nmの範囲内の波長を有する電磁放射を包含していると考えるとよい。
[0113] 一実施形態において、多層コーティングを基板テーブル上に形成する方法が提供される。この方法は、パルスレーザ蒸着を室温または室温付近で用いて第1材料層を基板テーブル上に形成することを含む。次に、パルスレーザ蒸着を室温または室温付近で用いて、第2材料層を第1材料層の上に形成する。次に、パルスレーザ蒸着を室温または室温付近で任意に用いて、追加の材料層を基板テーブル上に形成する。
[0114] 以上、本発明の具体的な実施形態を説明してきたが、
[0115] 本発明は、上述以外の態様で実施できることが明らかである。例えば、本発明は、上記に開示した方法を表す1つ以上の機械読取可能命令のシーケンスを含むコンピュータプログラムの形態、またはこのようなコンピュータプログラムが記憶されたデータ記憶媒体(例えば、半導体メモリ、磁気ディスクまたは光ディスク)の形態であってもよい。上記の説明は、制限ではなく例示を意図したものである。従って、当業者には明らかなように、添付の特許請求の範囲を逸脱することなく本記載の発明に変更を加えてもよい。

Claims (20)

  1. 基部と該基部から突出する複数のバールとを備える基板テーブルあって、前記バールの上面は多層コーティングを備える、基板テーブル。
  2. 前記多層コーティングは、少なくとも1つのTi層と少なくとも1つのTiN層とを含む、請求項1に記載の基板テーブル。
  3. 前記多層コーティングの上層は、TiNから形成される、請求項2に記載の基板テーブル。
  4. 前記多層コーティングは、少なくとも1つのCr層と少なくとも1つのCrN層とを含む、請求項1に記載の基板テーブル。
  5. 前記多層コーティングの上層は、CrNから形成される、請求項4に記載の基板テーブル。
  6. 前記多層コーティングは、10以上の層を含む、請求項1乃至5のいずれか1項に記載の基板テーブル。
  7. 前記多層コーティングは、100nmを超える厚さを有する、請求項1乃至6のいずれか1項に記載の基板テーブル。
  8. 前記多層コーティングは、パルスレーザ蒸着を用いて形成される、請求項1乃至7のいずれか1項に記載の基板テーブル。
  9. 前記多層コーティングは、原子層堆積を用いて形成される、請求項1乃至7のいずれか1項に記載の基板テーブル。
  10. 前記バール上に設けられることに加えて、前記多層コーティングは、前記バール間に位置する、前記基板テーブルの領域上に設けられる、請求項1乃至9のいずれか1項に記載の基板テーブル。
  11. 前記バールは、前記多層コーティングによって形成される、請求項1乃至9のいずれか1項に記載の基板テーブル。
  12. ラフネスが、プラズマエッチングを用いて前記多層コーティングの上面に付与される、請求項1乃至11のいずれか1項に記載の基板テーブル。
  13. 前記プラズマエッチングは、プラズマ利用化学エッチングである、請求項12に記載の基板テーブル。
  14. 請求項1乃至13のいずれか1項に記載の基板テーブルを備えるリソグラフィ装置。
  15. パターン形成された放射ビームを、請求項1乃至13のいずれか1項に記載の基板テーブル上に保持された基板上に投影することを含む、デバイス製造方法。
  16. 多層コーティングを基板テーブル上に形成する方法であって、
    原子層堆積を用いて第1材料層を前記基板テーブル上に形成することと、次に、原子層堆積を用いて第2材料層を前記第1材料層の上に形成することと、次に、任意に原子層堆積を用いて追加の材料層を前記基板テーブル上に形成することと、を含む、
    方法。
  17. プラズマエッチングを用いて前記多層コーティングの上面をエッチングして、該上面に実質的に均一なラフネスを付与する、請求項16に記載の方法。
  18. 前記材料のうちの1つはTiであり、かつ他の材料はTiNであり、または、前記材料のうちの1つはCrであり、かつ他の材料はCrNである、請求項16または17に記載の方法。
  19. バールを基板テーブル上に形成する方法であって、
    原子層堆積中に材料を受けとる部分を形成することであって、該部分は原子層堆積中に材料を受け取らない領域によって囲まれることと、次に、原子層堆積を用いて多層コーティングを前記部分上に形成し、それによってバールを前記基板テーブル上に形成することと、を含む、
    方法。
  20. 基板テーブルのバール上のコーティングの上面にラフネスを付与する方法であって、プラズマエッチングを用いて前記コーティングをエッチングすることを含む、方法。
JP2013550780A 2011-02-01 2011-11-17 基板テーブル、リソグラフィ装置、およびデバイス製造方法 Expired - Fee Related JP5989673B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201161438480P 2011-02-01 2011-02-01
US61/438,480 2011-02-01
PCT/EP2011/070407 WO2012103967A1 (en) 2011-02-01 2011-11-17 Substrate table, lithographic apparatus and device manufacturing method

Publications (3)

Publication Number Publication Date
JP2014505369A true JP2014505369A (ja) 2014-02-27
JP2014505369A5 JP2014505369A5 (ja) 2015-01-15
JP5989673B2 JP5989673B2 (ja) 2016-09-07

Family

ID=44983562

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013550780A Expired - Fee Related JP5989673B2 (ja) 2011-02-01 2011-11-17 基板テーブル、リソグラフィ装置、およびデバイス製造方法

Country Status (4)

Country Link
US (1) US9329497B2 (ja)
JP (1) JP5989673B2 (ja)
TW (1) TWI536117B (ja)
WO (1) WO2012103967A1 (ja)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL2009189A (en) 2011-08-17 2013-02-19 Asml Netherlands Bv Support table for a lithographic apparatus, lithographic apparatus and device manufacturing method.
WO2013113569A1 (en) * 2012-02-03 2013-08-08 Asml Netherlands B.V. Substrate holder and method of manufacturing a substrate holder
US10937684B2 (en) * 2012-11-28 2021-03-02 Kyocera Corporation Placement member and method of manufacturing the same
NL2010527A (en) * 2013-03-27 2014-09-30 Asml Netherlands Bv Object holder, lithographic apparatus, device manufacturing method, and method of manufacturing an object holder.
US9284210B2 (en) * 2014-03-31 2016-03-15 Corning Incorporated Methods and apparatus for material processing using dual source cyclonic plasma reactor
EP3210080B1 (en) 2014-10-23 2020-12-09 ASML Netherlands B.V. Support table for a lithographic apparatus, method of loading a substrate, lithographic apparatus and device manufacturing method
JP6867149B2 (ja) * 2015-12-25 2021-04-28 日本特殊陶業株式会社 基板保持部材
NL2022092A (en) * 2017-12-20 2019-07-02 Asml Holding Nv Lithography supports with defined burltop topography
TWI827645B (zh) * 2018-08-23 2024-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理設備及方法
US11874607B2 (en) 2019-04-30 2024-01-16 Asml Netherlands B.V. Method for providing a wear-resistant material on a body, and composite body
JP2022533319A (ja) * 2019-05-24 2022-07-22 エーエスエムエル ホールディング エヌ.ブイ. リソグラフィ装置、基板テーブル、及び方法

Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH073432A (ja) * 1992-10-12 1995-01-06 Sumitomo Electric Ind Ltd 超薄膜積層部材
JPH07138771A (ja) * 1993-11-17 1995-05-30 Kobe Steel Ltd 多層皮膜被覆金属材料
JPH07207459A (ja) * 1994-01-24 1995-08-08 Kobe Steel Ltd 多層皮膜被覆金属材料
JPH1076408A (ja) * 1996-09-03 1998-03-24 Hitachi Tool Eng Ltd 多層被覆硬質工具
JPH1076407A (ja) * 1996-09-03 1998-03-24 Hitachi Tool Eng Ltd 多層被覆硬質工具
JP2000349140A (ja) * 1999-06-01 2000-12-15 Toto Ltd 静電チャックを用いた被処理体の処理および搬送方法
JP2006305713A (ja) * 2005-03-28 2006-11-09 Nikon Corp 吸着装置、研磨装置、半導体デバイス及び半導体デバイス製造方法
JP2007158286A (ja) * 2005-11-30 2007-06-21 Ips Ltd 真空処理装置の静電チャック、それを有する真空処理装置、及び静電チャックの製造方法
JP2008135736A (ja) * 2006-10-31 2008-06-12 Taiheiyo Cement Corp 静電チャック
JP2008211119A (ja) * 2007-02-28 2008-09-11 Elpida Memory Inc 半導体装置の製造方法
WO2009047867A1 (ja) * 2007-10-12 2009-04-16 Hitachi Tool Engineering, Ltd. 硬質皮膜被覆部材、及びその製造方法
JP2009272646A (ja) * 2007-09-11 2009-11-19 Canon Anelva Corp スパッタリング装置
US20090284894A1 (en) * 2008-05-19 2009-11-19 Entegris, Inc. Electrostatic chuck
JP2010034256A (ja) * 2008-07-29 2010-02-12 Ngk Spark Plug Co Ltd 静電チャック
JP2010538165A (ja) * 2007-09-07 2010-12-09 フジフィルム マニュファクチュアリング ヨーロッパ ビー.ヴィ. 大気圧グロー放電プラズマを用いる原子層堆積の方法及び装置

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4551192A (en) * 1983-06-30 1985-11-05 International Business Machines Corporation Electrostatic or vacuum pinchuck formed with microcircuit lithography
US5298103A (en) 1993-07-15 1994-03-29 Hughes Aircraft Company Electrode assembly useful in confined plasma assisted chemical etching
US5841624A (en) * 1997-06-09 1998-11-24 Applied Materials, Inc. Cover layer for a substrate support chuck and method of fabricating same
TW594426B (en) * 1999-06-11 2004-06-21 Asml Netherlands Bv Lithographic projection apparatus, integrated circuit manufacturing method and integrated circuit made thereby
US7399357B2 (en) 2003-05-08 2008-07-15 Arthur Sherman Atomic layer deposition using multilayers
EP1498777A1 (en) * 2003-07-15 2005-01-19 ASML Netherlands B.V. Substrate holder and lithographic projection apparatus
EP1510868A1 (en) * 2003-08-29 2005-03-02 ASML Netherlands B.V. Lithographic apparatus and device manufacturing method
JP5059450B2 (ja) * 2007-03-06 2012-10-24 東京エレクトロン株式会社 基板載置台及び基板処理装置
US7698678B2 (en) * 2007-05-30 2010-04-13 International Business Machines Corporation Methodology for automated design of vertical parallel plate capacitors

Patent Citations (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH073432A (ja) * 1992-10-12 1995-01-06 Sumitomo Electric Ind Ltd 超薄膜積層部材
JPH07138771A (ja) * 1993-11-17 1995-05-30 Kobe Steel Ltd 多層皮膜被覆金属材料
JPH07207459A (ja) * 1994-01-24 1995-08-08 Kobe Steel Ltd 多層皮膜被覆金属材料
JPH1076408A (ja) * 1996-09-03 1998-03-24 Hitachi Tool Eng Ltd 多層被覆硬質工具
JPH1076407A (ja) * 1996-09-03 1998-03-24 Hitachi Tool Eng Ltd 多層被覆硬質工具
JP2000349140A (ja) * 1999-06-01 2000-12-15 Toto Ltd 静電チャックを用いた被処理体の処理および搬送方法
JP2006305713A (ja) * 2005-03-28 2006-11-09 Nikon Corp 吸着装置、研磨装置、半導体デバイス及び半導体デバイス製造方法
JP2007158286A (ja) * 2005-11-30 2007-06-21 Ips Ltd 真空処理装置の静電チャック、それを有する真空処理装置、及び静電チャックの製造方法
JP2008135736A (ja) * 2006-10-31 2008-06-12 Taiheiyo Cement Corp 静電チャック
JP2008211119A (ja) * 2007-02-28 2008-09-11 Elpida Memory Inc 半導体装置の製造方法
JP2010538165A (ja) * 2007-09-07 2010-12-09 フジフィルム マニュファクチュアリング ヨーロッパ ビー.ヴィ. 大気圧グロー放電プラズマを用いる原子層堆積の方法及び装置
JP2009272646A (ja) * 2007-09-11 2009-11-19 Canon Anelva Corp スパッタリング装置
WO2009047867A1 (ja) * 2007-10-12 2009-04-16 Hitachi Tool Engineering, Ltd. 硬質皮膜被覆部材、及びその製造方法
US20090284894A1 (en) * 2008-05-19 2009-11-19 Entegris, Inc. Electrostatic chuck
JP2010034256A (ja) * 2008-07-29 2010-02-12 Ngk Spark Plug Co Ltd 静電チャック

Also Published As

Publication number Publication date
US20130301028A1 (en) 2013-11-14
US9329497B2 (en) 2016-05-03
WO2012103967A1 (en) 2012-08-09
TW201237561A (en) 2012-09-16
JP5989673B2 (ja) 2016-09-07
TWI536117B (zh) 2016-06-01

Similar Documents

Publication Publication Date Title
JP5989673B2 (ja) 基板テーブル、リソグラフィ装置、およびデバイス製造方法
JP4898765B2 (ja) 光学素子における蒸着物の除去方法、光学素子の保護方法、デバイス製造方法、光学素子を含む装置、およびリソグラフィ装置
JP6005069B2 (ja) かすめ入射リフレクタ、リソグラフィ装置、かすめ入射リフレクタ製造方法、およびデバイス製造方法
EP2948818B1 (en) Electrostatic clamp
JP5727590B2 (ja) スペクトル純度フィルタ
JP2013526044A5 (ja)
WO2014170093A2 (en) Radiation collector, radiation source and lithographic apparatus
JP6144874B2 (ja) リソグラフィ装置用の反射型光コンポーネントおよびデバイス製造方法
TW200841133A (en) Device manufacturing method and lithographic apparatus
TWI539242B (zh) 微影裝置及元件製造方法
JP2007158309A (ja) リソグラフィ装置およびデバイス製造方法
TWI510821B (zh) 光譜純度濾光器
US20100151394A1 (en) System for Contactless Cleaning, Lithographic Apparatus and Device Manufacturing Method
JP2011258950A (ja) 水素ラジカルジェネレータ
EP2752098A1 (en) Radiation source
NL2006603A (en) Substrate table, lithographic apparatus and device manufacturing method.
WO2013072154A1 (en) Radiation source and method for operating the same, lithographic apparatus comprising the radiation source, and device manufacturing method
NL2010626A (en) Radiation source-collector and method for refurbishment.

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20141114

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20141114

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20150723

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20151015

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20151222

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160318

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160804

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160810

R150 Certificate of patent or registration of utility model

Ref document number: 5989673

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees