JP2013010936A - ポリマー組成物およびこのポリマーを含むフォトレジスト - Google Patents

ポリマー組成物およびこのポリマーを含むフォトレジスト Download PDF

Info

Publication number
JP2013010936A
JP2013010936A JP2012117271A JP2012117271A JP2013010936A JP 2013010936 A JP2013010936 A JP 2013010936A JP 2012117271 A JP2012117271 A JP 2012117271A JP 2012117271 A JP2012117271 A JP 2012117271A JP 2013010936 A JP2013010936 A JP 2013010936A
Authority
JP
Japan
Prior art keywords
monomer
alkyl
base
copolymer
soluble
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2012117271A
Other languages
English (en)
Other versions
JP2013010936A5 (ja
JP5897986B2 (ja
Inventor
Gregory P Prokopowicz
グレゴリー・ピー.プロコポビッチ
Gerhard Pohlers
ゲルハルト・ポーラース
Mingqi Li
ミンキー・リー
Chunyi Wu
チュンイ・ウー
Cong Liu
コン・リュウ
Cheng-Bai Xu
チェンバイ・スー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Rohm and Haas Electronic Materials LLC
Original Assignee
Rohm and Haas Electronic Materials LLC
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Rohm and Haas Electronic Materials LLC filed Critical Rohm and Haas Electronic Materials LLC
Publication of JP2013010936A publication Critical patent/JP2013010936A/ja
Publication of JP2013010936A5 publication Critical patent/JP2013010936A5/ja
Application granted granted Critical
Publication of JP5897986B2 publication Critical patent/JP5897986B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F214/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by a halogen
    • C08F214/18Monomers containing fluorine
    • C08F214/186Monomers containing fluorine with non-fluorinated comonomers
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F214/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by a halogen
    • C08F214/18Monomers containing fluorine
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/26Esters containing oxygen in addition to the carboxy oxygen
    • C08F220/28Esters containing oxygen in addition to the carboxy oxygen containing no aromatic rings in the alcohol moiety
    • C08F220/283Esters containing oxygen in addition to the carboxy oxygen containing no aromatic rings in the alcohol moiety and containing one or more carboxylic moiety in the chain, e.g. acetoacetoxyethyl(meth)acrylate
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0046Photosensitive materials with perfluoro compounds, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • G03F7/0397Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition the macromolecular compound having an alicyclic moiety in a side chain

Landscapes

  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Organic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • General Physics & Mathematics (AREA)
  • Materials For Photolithography (AREA)
  • Addition Polymer Or Copolymer, Post-Treatments, Or Chemical Modifications (AREA)

Abstract

【課題】改良されたLWR及びエッチング制御を示すフォットレジストポリマーを提供する。
【解決手段】式(I):
Figure 2013010936

(式中、Lはm価のC2−30アルキレン、C3−30シクロアルキレン、C6−30アリーレン、C7−30アラルキレン基であり、Xは独立して、β−ジケトン、β−エステル−ケトン、β−ジ−エステル、または前記のものの少なくとも1種を含む組み合わせを含む塩基可溶性有機基である)の塩基可溶性モノマーと;式(I)の塩基可溶性モノマーに共重合可能な追加のモノマーと;の重合生成物を含むコポリマー。
【選択図】図1

Description

関連出願の相互参照
本出願は2011年5月27日に出願された米国仮出願第61/490,883号に対する優先権を主張しかつそのノンプロビジョナル出願であり、その仮出願の内容はその全体が参照によって本明細書に組み込まれる。
背景
フォトレジスト、特に、193nmリソグラフィのために配合されるものは非常に低い未露光溶解速度を有する傾向がある。アルカリ現像剤中でのポリマーの溶解を許容する、塩基可溶性4−ヒドロキシスチレンモノマー(HSM)由来の単位に主として基づく248nm露光波長での使用のための深紫外線(DUV)フォトレジストポリマーとは異なり、193nmフォトレジストポリマーは、193nmで高い吸光度を有するHSMのような最も一般的な芳香族モノマーを組み込むことはできない。その代わりに、他の塩基可溶性基を組み込むモノマー単位、または193nmで比較的低い吸光度を有するそれら芳香族モノマー、例えば、低い吸光性の2−ヒドロキシ−6−ビニル−ナフタレンまたはヘキサフルオロアルコール(HFA)単位を組み込むモノマーが使用されてきた。しかし、今日まで、これら塩基可溶性基をベースにしたポリマーを含んでいるフォトレジストは劣ったライン幅ラフネス(LWR)を示す場合があった。さらに、HFAベースのモノマーの場合には、これらの基を含んでいるポリマーは、フッ素の存在のせいで、HSMのような非フッ素化モノマーよりもエッチング耐性を望ましくなく低減させていた。
特開昭63−127237号、特開平10−060056号、および特開平11−1065953号、並びに欧州特許出願公開第0875496A1号に認められるもののような、塩基可溶性および高い透明度を有する他の官能基が説明されてきた。
特開昭63−127237号公報 特開平10−060056号公報 特開平11−1065953号公報 欧州特許出願公開第0875496A1号明細書
しかし、改良されたLWRおよびエッチング制御を示す193nmのためのフォトレジストポリマーについての必要性が依然としてある。
先行技術の上述のおよび他の欠点は、ある実施形態においては、式(I):
Figure 2013010936
(式中、RはH、F、C1−10アルキルまたはC1−10フルオロアルキルであり、Lはm価のC2−30アルキレン、C3−30シクロアルキレン、C6−30アリーレン、C7−30アラルキレン基であり、Xは独立して、β−ジケトン、β−エステル−ケトン、β−ジ−エステル、または前記のものの少なくとも1種を含む組み合わせを含む塩基可溶性有機基である)の塩基可溶性モノマーと、前記式(I)の塩基可溶性モノマーに共重合可能な追加のモノマーとの重合生成物を含むコポリマーによって克服されうる。
別の実施形態においては、フォトレジストは式(I):
Figure 2013010936
(式中、RはH、F、C1−10アルキルまたはC1−10フルオロアルキルであり、Lはm価のC2−30アルキレン、C3−30シクロアルキレン、C6−30アリーレン、C7−30アラルキレン基であり、Xは独立して、β−ジケトン、β−エステル−ケトン、β−ジ−エステル、または前記のものの少なくとも1種を含む組み合わせを含む塩基可溶性有機基である)の塩基可溶性モノマーと、前記式(I)の塩基可溶性モノマーに共重合可能な追加のモノマーとの重合生成物を含むコポリマー;光酸発生剤;並びに場合によっては第二の酸感受性ポリマーおよびアミンまたはアミド添加剤を含む。
別の実施形態においては、塗膜は式(I):
Figure 2013010936
(式中、RはH、F、C1−10アルキルまたはC1−10フルオロアルキルであり、Lはm価のC2−30アルキレン、C3−30シクロアルキレン、C6−30アリーレン、C7−30アラルキレン基であり、Xは独立して、β−ジケトン、β−エステル−ケトン、β−ジ−エステル、または前記のものの少なくとも1種を含む組み合わせを含む塩基可溶性有機基である)の塩基可溶性モノマーと、前記式(I)の塩基可溶性モノマーに共重合可能な追加のモノマーとの重合生成物を含むコポリマー;光酸発生剤;並びに場合によっては第二の酸感受性ポリマーおよびアミンまたはアミド添加剤を含むフォトレジストを含む。
図1Aおよび1Bは、(A)含まれるベータ−ジカルボニル化合物を有するポリマーを含む典型的なフォトレジストのトップダウン走査型電子顕微鏡(SEM)像、並びに(B)このベータ−ジカルボニル含有ポリマーを含まない対照のトップダウン走査型電子顕微鏡(SEM)像を示す。 図2Aおよび2Bは、(A)含まれるベータ−ジカルボニル化合物を有するポリマーを含む典型的なフォトレジストの横断面走査型電子顕微鏡(SEM)像、並びに(B)このベータ−ジカルボニル含有ポリマーを含まない対照の横断面走査型電子顕微鏡(SEM)像を示す。
前述のおよび他の本発明の目的、特徴および利点は、添付の図面を伴う以下に詳述される記載から明らかである。
本明細書に開示されるのは、ベータ−ジカルボニル構造、−C(=O)−CH−C(=O)−、をベースにした塩基可溶性単位を含んでいるフォトレジストポリマーである。このベータ−ジカルボニル構造のバリエーションには、ベータ−ジケトン(−R−C(=O)−CH−C(=O)−R’)、ベータ−ジエステル(−O−C(=O)−CH−C(=O)−O−)またはベータ−エステル−ケトン(−O−C(=O)−CH−C(=O)−R)が挙げられうる。
このような基における現像剤可溶性はメチレンスペーサーの酸性プロトンからもたらされる。非フッ素化ベータ−ジカルボニルにおける−CH−スペーサーのpKaは約10〜11であり、これはフェノール部分からの、または、ヘキサフルオロイソプロパノールのようなヘキサフルオロアルコール(HFA)基、からのOHのものよりもわずかに弱い。CFのようなフッ素化基がカルボニルもしくはメチレンに結合されているベータ−ジケトンまたはベータ−エステル−ケトンにおけるような、ベータジカルボニル構造におけるフッ素化基の包含はさらに低減されたpKaおよびより大きな塩基可溶性を示すが、良好なエッチング耐性を有さない場合がある。よって、ベータ−ジカルボニル基の使用は、過剰な吸光なしでかつポリマーのエッチング耐性を低下させるフッ素の過剰な量での存在なしに塩基可溶性を提供する。
アルカリ現像剤中に溶解可能なベータ−ジカルボニル基を有するこのようなポリマーは、低正規化イメージログスロープ(low normalized image log slope;NILS)条件と典型的に称される、劣った像形成条件下でさえ、特に底部界面において、レジストラインの清浄度を向上させるべきである。クリーナー底部界面の二次的な効果は改良されたLWRである。レジストスカムは一般的に底部界面でのフッティング(footing)と称され、ライン幅測定に貢献する。さらに、ベータ−ジカルボニル基を有する塩基可溶性モノマーの使用は接着における改良された性能を提供し、および現像されたラインフィーチャのアンダーカットを低減させ、それにより、現像されたフォトレジストにおけるパターン崩壊に対する耐性を向上させ、そして全体的な断面フィーチャプロファイルを向上させる。
塩基可溶性ベータジカルボニル基を有するコポリマーは、式(I)の塩基可溶性モノマーの重合生成物を含む。
Figure 2013010936
式(I)においては、RはH、F、C1−10アルキルまたはC1−10フルオロアルキルである。好ましくは、RはH、C1−6アルキルまたはCFである。本明細書を通して使用される場合、接頭語「フルオロ」は関連する基に結合した1以上のフッ素基を意味する。例えば、この定義によってかつ他に特定されない限りは、「フルオロアルキル」はモノフルオロアルキル、ジフルオロアルキルなど、並びに、アルキル基の実質的に全ての炭素原子がフッ素原子で置換されているペルフルオロアルキルを包含する。この文脈における「実質的に全て」は、炭素に結合している全ての原子の90%以上、好ましくは95%以上、さらにより具体的には98%以上がフッ素原子である。
式(I)におけるLはm価のC2−30アルキレン、C3−30シクロアルキレン、C6−30アリーレン、C7−30アラルキレン基、または前記のものの少なくとも1種を含む組み合わせである。この連結基は好ましくは非芳香族であり、かつ線状もしくは分岐アルキレンもしくはシクロアルキレン、例えば、単環式、多環式または縮合多環式(場合によっては、「ケージ」と称される)構造であることができる。Lのm価は重合可能な部分への、および1以上の塩基可溶性基への結合点として記載されるあらゆる価数であり得る。好ましくは、mは2〜5である。典型的には、連結基Lは置換もしくは非置換であることができ、かつエチレン、1,2−プロピレン、1,3−プロピレン、1,4−ブチレン、2−メチル−1,4−ブチレン、2,2−ジメチル−1,3−プロピレン、1,5−ペンチレン、1,6−ヘキシレン、1,8−オクチレン、1,4−シクロヘキシレン、1,3,5−シクロヘキシレン、1,4−シクロヘキシレンジメチレン、ノルボルニレン、1,1−、1,2−および1,3−アダマンチレン、三置換アダマンチレン(例えば、1,3,5−アダマンチレン)、上述のもののフッ素化体、例えば、ペルフルオロ化体、など、並びに前記のものの少なくとも1種を含む組み合わせが挙げられうる。
また、式(I)において、Xは独立して、β−ジケトン、β−エステル−ケトン、β−ジ−エステル、または前記のものの少なくとも1種を含む組み合わせを含む塩基可溶性有機基である。ベータ−ジカルボニル基を含む非環式または環式基がこの構造に含まれうる。好ましくは、各Xは独立して:
Figure 2013010936
であり、式中、XはOもしくは単結合であり、およびRはC1−20アルキル、C1−20フルオロアルキル、C3−20シクロアルキル、C6−20アリール、C7−20アラルキル、または前記のものの少なくとも1種を含む組み合わせである。このような基には、より具体的には、下記構造:
Figure 2013010936
(式中、Rは上で定義された通りである)
を有するものが挙げられうる。典型的には、R基には、メチル、エチル、ブチル、シクロヘキシル、トリフルオロメチル、ペルフルオロエチルなどが挙げられる。
およびXは置換もしくは非置換であることができる。本明細書を通して使用される場合で、かつほかに特定されない限りは、「置換」とは置換基、例えば、−OH、−SH、−CN、ハロゲン、例えば、F、Cl、Br、もしくはI、カルボン酸、カルボキシラート、C1−10アルキル、C3−10シクロアルキル、C6−10アリール、C7−10アラルキル、C1−10アルキル、C1−10フルオロアルキル、C3−10フルオロシクロアルキル、C6−10フルオロアリール、C7−10フルオロアラルキル、C1−10アルキル、C1−10アルコキシ、C3−10シクロアルコキシ、C6−10アリールオキシ、C1−10アルキル、C2−10エステル含有基、C1−10アミド含有基、C2−10イミド含有基、C3−10ラクトン含有基、C3−10ラクタム含有基、C2−10無水物含有基、または前記のものの少なくとも1種を含む組み合わせを有することを意味する。
ある実施形態においては、塩基可溶性モノマーは式(II)を有する:
Figure 2013010936
式中、RはH、F、C1−6アルキル、またはCFであり、Lはm価であって、かつC2−30アルキレン、C3−30シクロアルキレン、C6−30アリーレン、C7−30アラルキレン基であり、mは1もしくは2であり、並びにRはC1−20アルキル、C1−20フルオロアルキル、C3−20シクロアルキル、C6−20アリール、C7−20アラルキル、または前記のものの少なくとも1種を含む組み合わせを有する。
典型的な塩基可溶性モノマーには:
Figure 2013010936
または、前記のものの少なくとも1種を含む組み合わせが挙げられ、式中、RはH、F、C1−6アルキル、またはCFであり、XはOもしくは単結合であり、並びにRはC1−20アルキル、C1−20フルオロアルキル、C3−20シクロアルキル、C6−20アリール、C7−20アラルキル、または前記のものの少なくとも1種を含む組み合わせである。
本明細書に開示される具体的な典型的な塩基可溶性モノマーには、以下のメタクリラートモノマー:メタクリル酸2−(アセトアセチルオキシ)エチル(AAEM)、メタクリル酸3−(アセトアセチルオキシ)アダマンチル(AAHAMA)、およびメタクリル酸3,5−ジ(アセトアセチルオキシ)アダマンチル(Di−AAHAMA)が挙げられ、それぞれ以下に示される:
Figure 2013010936
コポリマーは式(I)の塩基可溶性モノマーに共重合可能な追加のモノマーをさらに含む。193nmフォトレジストポリマーを形成するのに適するこのような追加のモノマーが使用されうるが、ただし酸脱保護性(acid−deprotectable)モノマーは本明細書において記載される塩基可溶性モノマーに共重合可能であって、かつこの塩基可溶性モノマーの所望の特性に有意な悪影響を及ぼさない好ましくはこの追加のモノマーは酸脱保護性塩基可溶性基を有する(メタ)アクリラートモノマー、ラクトン官能基を有する(メタ)アクリラートモノマー、式(I)のとは同じでない塩基可溶性基を有する(メタ)アクリラートモノマー、または前記のモノマーの少なくとも1種を含む組み合わせである。本明細書において使用される場合、「(メタ)アクリラート」とは、アクリラートもしくはメタクリラートまたはこれら重合性基の少なくとも1種を含む組み合わせを意味する。接着性、エッチング耐性などを向上させるための(メタ)アクリラートモノマーのような他のモノマーが含まれても良い。
193nmフォトレジストポリマーを形成するのに有用な酸脱保護性モノマーが使用されうる。式(I)のベータ−ジカルボニルモノマーと共重合可能な典型的な酸脱保護性モノマーには、これに限定されないが:
Figure 2013010936
(式中、RはH、F、C1−10アルキルまたはC1−10フルオロアルキルである)
または、前記のモノマーの少なくとも1種を含む組み合わせが挙げられうる。
193nmフォトレジストポリマーを形成するのに有用なラクトン含有モノマーが使用されうる。式(I)のベータ−ジカルボニルモノマーと共重合可能な典型的なこのようなラクトン含有モノマーには、これに限定されないが:
Figure 2013010936
(式中、RはH、F、C1−10アルキルまたはC1−10フルオロアルキルである)
または、前記のモノマーの少なくとも1種を含む組み合わせが挙げられうる。
193nmフォトレジストポリマーを形成するのに有用であるが、式(I)と同じではない追加の塩基可溶性モノマーが使用されうる。典型的な追加の塩基可溶性(メタ)アクリラートモノマーには、これに限定されないが:
Figure 2013010936
(式中、RはH、F、C1−10アルキルまたはC1−10フルオロアルキルであり、並びにRはC1−4ペルフルオロアルキル基である)
または、前記のモノマーの少なくとも1種を含む組み合わせが挙げられうる。
このポリマーは、接着性を向上させるための官能基を有するかもしくは有さない、エッチング耐性を増大させるためのケージ構造のモノマーをはじめとする他のモノマーも含んでいて良い。典型的な追加のモノマーには:
Figure 2013010936
(式中、RはH、C1−6アルキルまたはCFである)
または、前記のものと少なくとも1種の追加のモノマーとを含む組み合わせが挙げられうる。
別の実施形態においては、本明細書に記載される式(I)の塩基可溶性モノマーと、式(I)の塩基可溶性モノマーに共重合可能な追加のモノマーとの重合生成物を含むコポリマー;光酸発生剤;並びに、場合によって、第二の酸感受性ポリマーおよびアミンもしくはアミド添加剤を含むフォトレジストが開示される。
第二の酸感受性ポリマーは、193nmでの使用のためのフォトレジストを配合するのに適したあらゆるポリマーであり得る。このような酸感受性ポリマーには、酸への曝露の際に酸感受性基が塩基可溶性基を脱保護する、酸感受性基およびラクトン含有基を含む酸感受性ポリマーが挙げられる。
フォトレジスト組成物は、本明細書においてクエンチャー(quencher)と称されるアミンもしくはアミド化合物をさらに含むことができる。クエンチャーは、より広範囲には、例えば、ヒドロキシド、カルボキシラート、アミン、イミンおよびアミドをベースにしたものが挙げられうる。ある実施形態においては、有用なクエンチャーは、アミン、アミド、または前記のものの少なくとも1種を含む組み合わせである。好ましくは、このようなクエンチャーには、C1−30有機アミン、イミンもしくはアミドが挙げられ、または強塩基(例えば、ヒドロキシドもしくはアルコキシド)または弱塩基(例えば、カルボキシラート)のC1−30第四級アンモニウム塩であり得る。典型的なクエンチャーには、アミン、例えば、トロジャーズ(Troger’s)塩基、ヒンダードアミン、例えば、ジアザビシクロウンデセン(DBU)もしくはジアザビシクロノネン(DBN)、またはN−保護アミン、例えば、N−t−ブチルカルボニル−1,1−ビス(ヒドロキシメチル)−2−ヒドロキシエチルアミン(TBOC−TRIS)、またはイオン性クエンチャー、例えば、第四級アルキルアンモニウム塩、例えば、テトラブチルアンモニウムヒドロキシド(TBAH)もしくは乳酸テトラブチルアンモニウムが挙げられる。
フォトレジストの他の成分には、溶媒および界面活性剤が挙げられうる。
成分を溶解し、分配しおよびコーティングするのに概して適する溶媒には、アニソール、アルコール、例えば、乳酸エチル、1−メトキシ−2−プロパノールおよび1−エトキシ−2プロパノール、エステル、例えば、酢酸n−ブチル、酢酸1−メトキシ−2−プロピル、メトキシエトキシプロピオナート、エトキシエトキシプロピオナート、ケトン、例えば、シクロヘキサノンおよび2−ヘプタノン、並びに上記溶媒の少なくとも1種を含む組み合わせが挙げられる。
界面活性剤には、フッ素化および非フッ素化界面活性剤が挙げられ、好ましくは非イオン性である。典型的なフッ素化非イオン性界面活性剤には、ペルフルオロC界面活性剤、例えば、FC−4430およびFC−4432界面活性剤(3Mコーポレーションから入手可能);並びに、フルオロジオール、例えば、ポリフォックス(POLYFOX)PF−636、PF−6320、PF−656およびPF−6520フルオロ界面活性剤(Omnovaから)が挙げられる。
本明細書に開示されるフォトレジスト組成物はポリマーを、固形分の全重量を基準にして50〜99重量%、具体的には55〜95重量%、より具体的には60〜90重量%、およびさらにより具体的には65〜90重量%の量で含むことができる。フォトレジスト中の成分のこの文脈において使用される「ポリマー」は本明細書に開示されるコポリマーのみ、またはこのポリマーとフォトレジストに有用な別のポリマーとの組み合わせを意味することができると理解されるであろう。光酸発生剤は、固形分の全重量を基準にして0.01〜20重量%、具体的には0.1〜15重量%、さらにより具体的には0.2〜10重量%の量でフォトレジスト中に存在することができる。界面活性剤は、固形分の全重量を基準にして0.01〜5重量%、具体的には0.1〜4重量%、さらにより具体的には0.2〜3重量%の量で含まれうる。クエンチャーは、固形分の全重量を基準にして、例えば、0.03〜5重量%の比較的少量で含まれうる。他の添加剤は、固形分の全重量を基準にして30重量%以下、具体的には20%以下、またはより具体的には10%以下の量で含まれうる。フォトレジスト組成物の全固形分量は、固形分および溶媒の全重量を基準にして、0.5〜50重量%、具体的には1〜45重量%、より具体的には2〜40重量%、およびさらにより具体的には5〜35重量%でありうる。固形分は溶媒を除く、コポリマー、光酸発生剤、クエンチャー、界面活性剤および任意成分の添加剤を含むことが理解されるであろう。
本明細書に開示されるフォトレジストは、基体上の膜がコーティングされた基体を構成する、フォトレジストを含む膜を形成するために使用されうる。このようなコーティングされた基体は(a)基体の表面上にパターン形成される1以上の層を有する基体;および(b)前記パターン形成される1以上の層上のフォトレジスト組成物の層;を含む。好ましくは、パターン形成は248nm未満の波長の、特に193nmの紫外線を用いて行われる。ある実施形態においては、パターン形成可能な膜は、式(I)の塩基可溶性モノマーを含むポリマーを含む。
基体は任意の寸法および形状であることができ、好ましくはフォトリソグラフィに有用なもの、例えば、ケイ素、二酸化ケイ素、シリコンオンインシュレータ(silicon−on−insulator;SOI)、ストレインドシリコン(strained silicon)、ガリウムヒ素、コーティングされた基体、例えば、窒化ケイ素、酸窒化ケイ素、窒化チタン、窒化タンタルでコーティングされた基体、超薄型ゲート(ultrathin gate)酸化物、例えば、酸化ハフニウム、金属もしくは金属コーティングされた基体、例えば、チタン、タンタル、銅、アルミニウム、タングステン、これらの合金およびこれらの組み合わせでコーティングされた基体である。好ましくは、本明細書においては、基体の表面はパターン形成される限界寸法(critical dimension)層、例えば、1以上のゲートレベル層もしくは半導体製造のための基体上の他の限界寸法層を含む。このような基体には、例えば、直径が200mm、300mmもしくはより大きい寸法、またはウェハ製造に有用な他の寸法を有する円形ウェハとして形成されるケイ素、SOI、ストレインドシリコンおよび他のこのような基体材料が好ましくは挙げられうる。
本発明は以下の実施例によってさらに説明される。ここで使用される全ての成分および試薬は、手順が以下に提示されている場合を除いて、商業的に入手可能である。メタクリル酸2−(アセトアセチルオキシ)エチル(AAEM)はイーストマンケミカルから市販されている。
メタクリル酸3−(アセトアセチルオキシ)アダマンチル(AAHAMA)が以下の手順に従って製造された。65.6gのメタクリル酸3−ヒドロキシアダマンタン−1−イル(HAMA)(277.6mmol)および42.1gの95%純度の2,2,6−トリメチル−4H−1,3−ジオキシン−4−オン(277.6mmol)が、トルエン400ml中の0.2gのシアノックス(CYANOX(登録商標))1790禁止剤と混合された。4時間還流(110℃)後、この反応は冷却され、10gの活性炭およびトルエン400mlが添加され、この混合物が一晩攪拌された。ろ過後、別の10gの活性炭が添加され、この混合物はさらに12時間にわたって攪拌され、次いでろ過された。このろ液が濃縮され、溶離液として酢酸エチルを用いるシリカゲルフラッシュカラムに通された。次いで、生じさせるために溶媒が除去されて、80g(90%)のメタクリル酸3−アセトアセトキシアダマンタン−1−イルが得られた。
典型的なAAEM−ベースのフォトレジストポリマー(ポリマー1)は以下の手順と同様の手順に従って製造された。30gのテトラヒドロフラン(THF)に溶解したメタクリル酸1−イソプロピル−アダマンタニル(IPAMA)(20mmol)、メタクリル酸1−メチルシクロペンチル(MCPMA)(20mmol)、メタクリル酸2−オキソ−テトラヒドロ−フラン−3−イル(α−GBLMA)(30mmol)、メタクリル酸3−オキソ−4,10−ジオキサ−トリシクロ[5.2.1.02,6]デカ−8−イル(ODOTMA)(20mmol)およびメタクリル酸2−(アセトアセチルオキシ)エチル(AAEM)(10mmol)の溶液が窒素でのバブリングによって脱ガスされ、凝縮器、窒素入口および機械式攪拌装置を備えた500mlフラスコに、追加の10gの脱ガスされたTHFと共に入れられる。この溶液は還流され、そして5gのジメチル−2,2−アゾジイソブチラートが5gのTHFに溶かされ、そしてこのフラスコに入れられる。次いで、この重合混合物は約4時間にわたって還流で攪拌され、その時間の後で、反応物は5gのTHFで希釈され、そして重合混合物は室温まで冷却される。1.0Lのイソプロパノールに添加することにより、ポリマーが沈殿させられ、ろ過によって集められ、50gのTHFに溶かすことによって再沈殿させられ、別の1.0Lのイソプロパノールに添加し、集められ、真空下45℃で48時間にわたって乾燥させられて、ポリマーである、ポリ(IAMA/MCPMA/α−GBLMA/ODOTMA/AAEM)(20/20/30/20/10)を生じさせる。Mw=10,400;Mw/Mn=1.53。
対照のフォトレジストポリマー(ポリマー2)が以下の手順と同様の手順に従って製造された。30gのテトラヒドロフラン(THF)に溶解したメタクリル酸1−イソプロピル−アダマンタニル(IPAMA)(20mmol)、メタクリル酸1−メチルシクロペンチル(MCPMA)(20mmol)、メタクリル酸2−オキソ−テトラヒドロ−フラン−3−イル(α−GBLMA)(30mmol)、メタクリル酸3−オキソ−4,10−ジオキサ−トリシクロ[5.2.1.02,6]デカ−8−イル(ODOTMA)(20mmol)およびメタクリル酸3−ヒドロキシ−アダマンチル(HAMA)(10mmol)の溶液が窒素でのバブリングによって脱ガスされ、凝縮器、窒素入口および機械式攪拌装置を備えた500mlフラスコに、追加の10gの脱ガスされたTHFと共に入れられる。この溶液は還流され、そして5gのジメチル−2,2−アゾジイソブチラートが5gのTHFに溶かされ、そしてこのフラスコに入れられる。次いで、この重合混合物は約4時間にわたって還流で攪拌され、その時間の後で、反応物は5gのTHFで希釈され、そして重合混合物は室温まで冷却される。1.0Lのイソプロパノールに添加することにより、ポリマーが沈殿させられ、ろ過によって集められ、50gのTHFに溶かすことによって再沈殿させられ、別の1.0Lのイソプロパノールに添加し、集められ、真空下45℃で48時間にわたって乾燥させられて、ポリマーである、ポリ(IAMA/MCPMA/α−GBLMA/ODOTMA/HAMA)(20/20/30/20/10)を生じさせる。Mw=9,000。
典型的なAAHAMA−ベースのフォトレジストポリマー(ポリマー3)は以下の手順に従って製造された。60gの混合溶媒(プロピレングリコールモノメチルエーテルアセタート(PGMEA)、ガンマブチロラクトン(GBL)および乳酸エチル(EL)(60/20/20 w/w))に溶解したメタクリル酸1−エチルシクロペンチル(ECPMA)(38.7g、147.3mmol)、メタクリル酸2−オキソ−テトラヒドロ−フラン−3−イル(α−GBLMA)(63g、369.3mmol)、メタクリル酸3−オキソオクタヒドロ−4,7−エポキシイソベンゾフラン−5−イル/メタクリル酸1−オキソオクタヒドロ−4,7−エポキシイソベンゾフラン−5−イルの1:1モル比混合物(ODOTMA)(11.7g、49.11mmol)、およびメタクリル酸2−(アセトアセチルオキシ)アダマンチル(AAHAMA)(7.9g、24.6mmol)の溶液が窒素でのバブリングによって脱ガスされ、凝縮器、窒素入口および機械式攪拌装置を備えた1Lフラスコに入れられ、温度が70℃に上げられた。10gの混合溶媒(PGMEA/GBL/EL、60/20/20)中のジメチル−2,2−アゾ(ビス)ジイソブチラート(VAZO V−601開始剤、デュポン)(6.3g、27.0mmol)の溶液が脱ガスされ、そしてこの開始剤溶液を3.5時間にわたって添加し、その後、さらに30分間その温度に保持し、その時間後に、この反応物はさらなる25gの上記混合溶媒で希釈され、室温まで冷却され、そして20倍の体積のメタノール中に沈殿させられた。生成物ポリマーはろ過によって集められ、混合溶媒中に30%固形分まで再溶解させられ、そして20倍の体積のメタノール中に再沈殿させられ、集められ、真空下45℃で12時間にわたって乾燥させられて、ポリマーである、ポリ(IAMA/MCPMA/α−GBLMA/ODOTMA/AAHAMA)(40/30/20/10)を生じさせた。
対照のフォトレジストポリマー(ポリマー4)は以下の手順と同様の手順に従って製造された。60gの混合溶媒(プロピレングリコールモノメチルエーテルアセタート(PGMEA)、ガンマブチロラクトン(GBL)および乳酸エチル(EL)(60/20/20 w/w))に溶解したメタクリル酸1−エチルシクロペンチル(ECPMA)(38.7g、147.3mmol)、メタクリル酸2−オキソ−テトラヒドロ−フラン−3−イル(α−GBLMA)(63g、369.3mmol)、メタクリル酸3−オキソオクタヒドロ−4,7−エポキシイソベンゾフラン−5−イル/メタクリル酸1−オキソオクタヒドロ−4,7−エポキシイソベンゾフラン−5−イルの1:1モル比混合物(ODOTMA)(11.7g、49.11mmol)、およびメタクリラート2−(アセトアセチルオキシ)アダマンチル(HAMA)(24.5mmol)の溶液が窒素でのバブリングによって脱ガスされ、凝縮器、窒素入口および機械式攪拌装置を備えた1Lフラスコに入れられ、温度が70℃に上げられた。10gの混合溶媒(PGMEA/GBL/EL、60/20/20)中のジメチル−2,2−アゾ(ビス)ジイソブチラート(VAZO V−601開始剤、デュポン)(6.3g、27.0mmol)の溶液が脱ガスされ、そしてこの開始剤溶液を3.5時間にわたって添加し、その後、さらにさらなる30分間その温度に保持し、その時間後に、この反応物はさらなる25gの上記混合溶媒で希釈され、室温まで冷却され、そして20倍の体積のメタノール中に沈殿させられた。生成物ポリマーはろ過によって集められ、混合溶媒中に30%固形分まで再溶解させられ、そして20倍の体積のメタノール中に再沈殿させられ、集められ、真空下45℃で12時間にわたって乾燥させられて、ポリマーである、ポリ(IAMA/MCPMA/α−GBLMA/ODOTMA/HAMA)(40/30/20/10)を生じさせた。Mw=11,000;Mw/Mn=1.61。
リソグラフィ評価に使用された光酸発生剤である、t−ブチルフェニルテトラメチレンスルホニウム4−アダマンタンカルボキシル1,1,2,2−テトラフルオロブタンスルホナート(TBPTMS Ad−TFBS)が以下の5段階手順に従って製造された。
第一の工程においては、温度計、側管付き滴下漏斗、および凝縮器w/Nガス入口を備えた1Lの三ツ口フラスコに、100g(503mmol)の塩化アダマンタン酸、113.2g(503mmol)のヒドロキシテトラフルオロブロモブタンおよび400mlのジクロロメタンが入れられた。この反応混合物は5℃に冷却され、100mLのCHCl中のジアゾビシクロウンデカン(DBU)の溶液91.76グラム(603mmol)が90〜120分間にわたって添加された。この添加の完了後、この反応混合物は還流まで暖められ、還流で20時間保持された。この反応混合物は20%(w/w)水性HClで500mlで4回洗浄され、脱イオン水で一定のpH6にされた。溶媒層は集められ、高真空下で60℃で濃縮されて、純粋なアダマンタン−1−カルボン酸4−ブロモ−3,3,4,4−テトラフルオロ−ブチルエステル中間体生成物165g(85%)を得た。
第二の工程においては、温度計、オーバーヘッドスターラーおよび凝縮器w/Nガス入口を備えた3Lの三ツ口丸底フラスコに、150g(387mmol)の第一の工程のアダマンチルフルオロブロモブタンエステルが、155g(891mmol)のジチオン酸ナトリウムおよび97.6gの炭酸水素ナトリウムを含む脱ガスアセトニトリル水溶液(750mlのHOおよび750mlのアセトニトリル)に添加された。この反応混合物は約18時間にわたって50℃に加熱され、次いで室温に冷却された。次いで、この水性層は分離され、200mlのアセトニトリルで洗浄された。一緒にしたアセトニトリル溶液はMgSOで乾燥させられ、溶媒を除去して、約200gの中間体をワックス状固体として残し、これはジイソプロピルエーテル(IPE)で2回(それぞれ、600mlおよび400ml)、1時間にわたってすりつぶし、集め、そして真空下で50℃で18時間にわたって乾燥させ、149gの1−アダマンチル−3,3,4,4−テトラフルオロブタンスルフィン酸ナトリウム中間体(収率97.6%)を生じさせた。
第三の工程においては、温度計、オーバーヘッドスターラーおよび凝縮器w/Nガス入口を備えた2Lの三ツ口丸底フラスコ内で、140g(355mmol)の第二の工程からのアダマンチルフルオロブタンスルフィナート、92.5グラム(816mmol)の30重量%過酸化水素水溶液、0.28g(0.85mmol)のタングステン酸ナトリウム二水和物が、1,400mlの脱イオン水と混合された。この反応混合物は室温で1.5時間攪拌され、そして過剰の過酸化水素が1.5当量の亜硫酸ナトリウム(NaSO)でクエンチされた。この混合物は2Lの酢酸エチルと一緒にされ、水層および有機層に分かれた。中間の橙色の層が分離され、1.5Lの酢酸エチルで2回抽出され、その抽出物を油状下層と一緒にし、そして濃縮して、約157gのワックス状固体を提供した。この固体は260mlのアセトンに溶かされ、2,600mlのジイソプロピルエーテル(IPE)中で沈殿させられた。得られた化合物はろ過によって集められ、このようにして得られた白色固体が200mlのIPEで洗浄され、50℃で16時間にわたって真空乾燥され、87g(60%)の1−アダマンチル−3,3,4,4−テトラフルオロブタンスルホン酸ナトリウム中間体を生じた。
第四の工程においては、温度計、オーバーヘッドスターラーおよび凝縮器w/Nガス入口を備えた2Lの三ツ口丸底フラスコ内で、50g(121.9mmol)の第二の工程からのアダマンチルフルオロブタンスルホナート、および50.1g(110.8mmol)のビス(t−ブチルフェニル)ヨードニウムアセタートが、400mlの塩化メチレンおよび400mlの脱イオン水と混合された。この反応混合物は室温で64時間攪拌された。この混合物は2Lの分離漏斗に入れられ、層が分けられた。水層は100mlの塩化メチレンで2回洗浄され、これは一緒にされて、500mlの1%(w/w)水酸化アンモニウム水溶液で洗浄され、次いで500mlの脱イオン水で6回洗浄された。塩化メチレン溶液は硫酸マグネシウムで乾燥させられ、全重量200gまで濃縮され、4Lのヘプタン中で沈殿させられ、沈殿物をろ過によって集め、そして200mlのヘプタンで2回洗浄し、真空下で乾燥させて、86g(90%)のビス(t−ブチルフェニル)ヨードニウム1−アダンマンチル−3,3,4,4−テトラフルオロブタンスルホナート中間体を生じた。
第五の工程においては、温度計、オーバーヘッドスターラーおよび凝縮器w/Nガス入口を備えた1Lの三ツ口丸底フラスコ内で、85g(108.9mmol)のビス(t−ブチルフェニル)ヨードニウム1−アダンマンチル−3,3,4,4−テトラフルオロブタンスルホナート、10.6g(119.8mmol)のテトラヒドロチオフェン、2.84gの安息香酸銅が、400mlの無水クロロベンゼンと一緒にされた。この反応混合物は125℃で5時間にわたって加熱され、そして一晩にわたって冷却された。常圧蒸留(atmospheric distillation)によって最終体積200mlまで溶媒が低減され、そして得られたものを2.5Lのジイソプロピルエーテル(IPE)中で沈殿させて、乾燥時に薄灰色の固体を得た。この固体は500mlの塩化メチレンに溶かされ、250mlの10重量%水酸化アンモニウム水溶液で2回洗浄され、500mlの脱イオン水で6回洗浄された。琥珀色した橙色の層が硫酸マグネシウムで乾燥させられ、10gの脱色用活性炭と共に2時間にわたって攪拌され、ろ過されて、ほぼ無色の溶液を得た。この塩化メチレン溶液は100mlに濃縮され、約50〜100mlのIPEで希釈され、2LのIPEに沈殿させられた。得られた固体沈殿物は3時間にわたって空気乾燥され、次いで50℃で真空乾燥されて、粗生成物を得て、これは酢酸エチルを用いて再結晶され、集められ、真空下で乾燥させられて、44g(79%)のt−ブチルフェニルテトラメチレンスルホニウム4−アダマンタンカルボキシル1,1,2,2−テトラフルオロブタンスルホナート(TBPTMS Ad−TFBS)を白色固体として得た。
t−ブチルフェニルテトラメチレンスルホニウム4−(3−ヒドロキシアダマンタンカルボキシル)−1,1,2,2−テトラフルオロブタンスルホナート(TBPTMS 3OH−Ad TFBS)は以下に記載されるような3工程合成によって製造された。
工程1においては、250mlのフラスコに窒素(N)スィープ下で、15gの3−ヒドロキシアダマンタン−1−カルボン酸および150mLの無水テトラヒドロフラン(THF)を入れた。この混合物に、1’,1’−カルボニルジイミダゾール(CDI、13.65g)を4〜5gの部分で30分間にわたって添加し、室温で3時間にわたって攪拌した。次いで、この混合物は還流まで加熱され、4−ブロモ−3,3,4,4−テトラフルオロ−ブタン−1−オール(18g)が5分間にわたって添加され、還流で15時間にわたって維持された。この反応物は25℃に冷却され、4〜5体積の水で希釈され、分離させられた。下層(褐色オイル)は集められ、上層は300mlの酢酸エチルで洗浄された。褐色オイルと酢酸エチル溶液が一緒にされ、脱イオン水(4回×200ml)で洗浄されてpH6.5になり、酢酸エチル層はMgSOで乾燥させられ、そして減圧下で溶媒が除去されて、中間体生成物をオイルとして生じ、これはさらに精製することなく使用された。
中間体生成物オイル(100%収率と仮定した)が26.6gのナトリウムチオスルフィット(thiosulfite)、19.3gの炭酸水素ナトリウム、150mlのアセトニトリルおよび150mlの脱イオン水と一緒にされて、一晩(16時間)にわたって60℃で攪拌され、次いで室温まで冷却された。このアセトニトリル層は分離されて、それに100mlの脱イオン水が添加され、次いで13gの30%(w/w)過酸化水素および60mgの触媒(NaWO・2HO)が添加された。この反応液は2〜3時間室温で攪拌され、完了後、残っているHを中和するために、13gの亜硫酸水素ナトリウムがゆっくりと添加された。この薄黄色の一層溶液に、30gの塩化ナトリウムが添加され、2層の溶液を生じた。上層(相)が集められ、MgSOで乾燥させられ、次いで1.4Lのメチルt−ブチルエーテル中で沈殿させられ、薄黄色の固体が集められ、そして乾燥されて、13.5g(42%)の分析的に純粋なナトリウム4−(3−ヒドロキシアダマンタンカルボキシル)−1,1,2,2−テトラフルオロブタンスルホナート(3OH−Ad TFBSNa)を生じた。
工程2においては、500mlのフラスコに、窒素雰囲気下で、19gのトリエチルアミン、65gの1,4−ジブロモブタンおよび255mlのメチルt−ブチルエーテルを入れた。この混合物に、25gのt−ブチルベンゼンチオールおよび70mlのメチルt−ブチルエーテルの溶液を7時間にわたって添加し、一晩攪拌した。次いで、この混合物はろ過され、1.2NのHCl(2回×100ml)で洗浄され、次いで脱イオン水(4回×100ml)で洗浄された。次いで、メチルt−ブチルエーテル層はMgSOで乾燥させられ、溶媒が除去された。過剰な1,4−ジブロモブタンが減圧下で(35〜40℃で約1.5torr)蒸留除去された。H−NMRはその物質が約95%の純度であり、その場(in situ)での収率84%であったことを示した。この材料はさらに蒸留され、精製された(150℃で約1.5torr)生成物を回収し、純粋な1−(4−ブロモ−ブチルスルファニル)−4−tert−ブチル−ベンゼンの56%の最終収率であった。
工程3においては、温度計、オーバーヘッドスターラー、および窒素ガス入口を伴う凝縮器を備えた3Lの丸底フラスコに、1−(4−ブロモ−ブチルスルファニル)−4−tert−ブチル−ベンゼン(204g、677mmol;工程2より)、3OH−AdTFBSNa(144g、338.5mmol;工程1より)および2リットルのアセトニトリルを入れた。この反応混合物は還流で16時間加熱され、次いで室温まで冷却された。副生成物はろ過して除去され、アセトニトリルを減圧下で除去して、橙色オイルとして粗生成物を提供した。このオイルは1Lの酢酸エチルに溶かされ、脱イオン水で(4回×1L)洗浄され、MgSOで乾燥させられ、5gの脱色用活性炭が添加された。この溶液は2〜3時間にわたって攪拌され、ろ過されて、非常に薄黄色の溶液を提供し、これは減圧下で体積を減らされ(400〜500ml)、そして5Lのメチルt−ブチルエーテル中で沈殿させられ、次いで得られた粗生成物の400mlの酢酸エチルから4Lのメチルt−ブチルエーテル中への再沈殿を行い、ほぼ無色のオイルを提供した。酢酸エチル/メチルt−ブチルエーテル溶液がデカントして除かれ、このオイルは酢酸エチル(1L)に再溶解され、清浄なフラスコに移され、減圧下で溶媒をゆっくりと除去して、127.5gの分析的に純粋なTBPTMS3OH−AdTFBS(60%収率)を得た。
トリフェニルスルホニウムヘキサヒドロ−4,7−エポキシイソベンゾフラン−1(3H)−オン、6−(2,2’−ジフルオロ−2−スルホナト酢酸エステル(TPS ODOT−DFMS)が以下の手順によって製造された。トルエン(50mL)中のジフルオロスルホ酢酸ナトリウム(5g)、9−ヒドロキシ−4,10−ジオキサ−トリシクロ[5.2.1.02,6]デカン−3−オン(4.21g)およびp−トルエンスルホン酸一水和物(9.5g)の混合物が3日間にわたって還流された。反応混合物は室温まで冷却され、ろ過された。固体はアセトニトリルで(2回×50mL)抽出され、ろ過された。アセトニトリルろ液は濃縮乾固され、残留物は水(50mL)および塩化メチレン(30mL)に分配され、これら相は分けられた。水相は塩化メチレン(2回×30mL)で洗浄され、臭化トリフェニルスルホニウム(8.6g)および塩化メチレン(50mL)で処理された。この混合物は室温で24時間攪拌され、これら相が分離された。有機相は水で(3回×30mL)洗浄され、硫酸ナトリウムで乾燥させられ、そして濃縮された。残留物はカラムクロマトグラフィ(シリカ、溶離液として塩化メチレン中の3%メタノール)によって精製されて、白色固体としてTPS−ODOT−DFMS PAGを生じさせた(7.5g)。
リソグラフィ評価は以下のように行われた。配合されたフォトレジストはTELリシウス(Lithius)コーティングトラック(TEL)を用いて、300mmシリコンウェハ上に(それぞれのシリコンウェハは、76nmのAR(商標)26N下層反射防止膜(BARC)および20nmのAR(商標)124上層反射防止膜(BARC)(ロームアンドハースエレクトロニックマテリアルズLLC)を有する)スピンコートされ、それぞれは205℃/60秒で処理され、そして950℃/60秒でソフトベークされ、約110nm厚さのレジスト膜を形成し、次いでトップコート(OC(商標)2000)を適用した。このフォトレジスト層はASML1900i193nm液浸スキャナを用いて、193nmで操作し、CQUAD40°照明(0.98/0.81s(インナー/アウター)およびXY偏光(Polarization))を用いて、1.35NAで、40nm密度1:1ライン/トレンチを有するフォトマスクを通して露光され、そしてその露光されたフォトレジスト層は85〜95℃で60秒間露光後ベーク(PEB)された。フォトレジスト層を現像するために、この露光されたウェハは0.26Nテトラメチルアンモニウムヒドロキシド水溶液(CD−26、ロームアンドハースエレクトロニックマテリアルズLLC)で現像された。日立9380CD−SEMを用いて、800ボルトの加速電圧、8.0ピコアンペア(pA)のプローブ電流で操作して、1.0デジタルズームで200K倍を使用し、フレーム数を64にセットして、トップダウン走査型電子顕微鏡観察(SEM)によって、ライン幅およびラインエッジラフネス(LWR)が決定された。LWRは2μmのライン長さにわたって40nmのステップで測定され、その測定領域の平均として報告された。
上記リソグラフィ条件によって評価されたリソグラフィ例1および2(対照)のフォトレジストは、以下の表1における比率に従って、AAEMポリマー1、フォトレジストポリマー2、PAG(TPS ODOT DFMS、TBPTMS3OH−Ad TFBSリソグラフィ例1について、またはTBPTMS Ad TFBSリソグラフィ例2(対照)について)、塩基(NBOC−TRIS)および溶媒(プロピレングリコールモノメチルエーテルアセタート、PGMEA;メチル2−ヒドロキシブチラート、HBM;およびシクロヘキサノン、CH)を組み合わせ、そして0.1μmフィルタを使用してろ過することによって製造された(全固形分3.510%)。
Figure 2013010936
リソグラフィ例1:TBPTMS 3OH−Ad TFBS;
リソグラフィ例2(対照):TBPTMS AdTFBS。
NBOC−TRIS:
Figure 2013010936
リソグラフィ例1および2(対照)のリソグラフィ処理は上述のように評価された。リソグラフィ例1は、全ポリマー重量を基準にして70重量%のポリマー1(ポリ(IPAMA/MCPMA/a−GBLMA/ODOTMA/AAEM(20/20/30/20/10)))および30重量%のポリマー2(ポリ(IPAMA/MCPMA/a−GBLMA/ODOTMA/HAMA 20/20/30/20/10))を含んでいたが、リソグラフィ例2(対照)は100重量%のポリマー2をベースにしていた。これらフォトレジストは40nm(1:1)ラインアンドトレンチを用いて性能について評価された。
リソグラフィ例1はわずかに高い含有量(固形分基準で16.4重量%)で低拡散PAGアニオン(すなわち、3OHAd TFBS)を含むように製造され、かつ85℃/60秒の比較的低いPEB温度を用いて処理され、有利に低いマスクエッジエンハンスメントファクター(mask−edge enhancement factor;MEEF)および低いLWRのために配合物スペースが選択される。リソグラフィ例2はわずかに低い含有量(固形分基準で13.0重量%)でより高い拡散性AdTFBSアニオンを有するPAGを含むように配合され、かつより高いPEB温度(90℃/60秒)で処理された。
図1Aに認められるように、リソグラフィ例1は、図1Bにおけるリソグラフィ例2(対照;6.1nm)と比較して低減されたLWR(5.3nm)を示す。さらに、断面SEM像が図2Aおよび2Bにおいて認められる。図2Aにおいては、AAEM−含有ポリマーを有するリソグラフィ例1は、図2Bにおけるリソグラフィ例について認められるものと比べて、より清浄なトレンチおよびよりスクエアなプロファイルを有する。
AAEMモノマーはコポリマー(ポリマー1)に対して、より低いガラス転移温度(Tg)を付与し、よって改良されたパターン崩壊マージンを付与すると考えられる。より高いPAG添加、より高いPEBまたはAdTFBSのようなより拡散性の酸アニオンの使用について、パターン崩壊マージンにおける同様の改良が観察されたが、増大したレジストトップロスおよび/またはMEEFおよび/またはLWRの結果となりうる。よって、AAEMモノマーの使用はより低いPEBおよび3OHAdTFBS PAGの使用を可能にし、結果的にパターン崩壊なしに向上したLWR/MEEFをもたらす。よって、AAEMポリマーのより低いTgおよび低減された立体的嵩高さのせいで、低拡散TMS3OHAdTFBS PAGの使用および85℃の低い露光後ベーク(PEB)も使用されることができ、結果的にリソグラフィ例1について改良されたLWRを生じた。
リソグラフィ例3および4(対照)のフォトレジストは成分AAHAMAポリマー3、フォトレジストポリマー4、PAG(TPS ODOTDFMSおよびTBPTMS AdTFBS)、塩基(NBOC−TRIS)並びに溶媒(プロピレングリコールモノメチルエーテルアセタート、PGMEA;メチル2−ヒドロキシブチラート、HBM;およびシクロヘキサノン、CH)を、以下の表2における割合に従って一緒にし、そして0.1μmフィルタを用いてろ過することによって製造された(合計固形分3.700%)。
Figure 2013010936
TPS ODOT DFMS。
TBPTMS AdTFBS。
NBOC−TRIS。
リソグラフィ例3および4は40nm密度トレンチについて、3つの異なるPEB温度(95、90および85℃)で評価された。結果は以下の表3において示される。
Figure 2013010936
表3における上記データに認められるように、AAHAMAを含むポリマー(リソグラフィ例3)は、HAMAを含むポリマーよりも(リソグラフィ例4;0.44nm/℃)有意に低いPEB温度感受性(0.09nm/℃)を有することが見いだされた。ポリマーにAAHAMAを組み込むことにより得られる低減されたPEB感受性は、より低い処理温度で、メタクリル酸tert−ブチルのような、より高い活性化エネルギー(E)脱離基の処理を可能にすることができる。
本明細書に開示された全ての範囲は終点を含み、その終点は互いに独立して組み合わせ可能である。「場合によって」または「任意の」とはその後に記載された事象もしくは状況が起こってもよく、または起こらなくてもよく、そしてその記載はその事象が起こる例およびその事象が起こらない例を含む。本明細書において使用される場合、「組み合わせ」は、ブレンド、混合物、合金もしくは反応生成物を包含する。全ての参考文献は参照により本明細書に組み込まれる。
さらに、用語「第1」、「第2」などは、本明細書においては、順序、品質もしくは重要性を示すものではなく、1つの要素を他のものから区別するために使用されることもさらに留意されたい。

Claims (10)

  1. 式(I):
    Figure 2013010936
    (式中、RはH、F、C1−10アルキルまたはC1−10フルオロアルキルであり、
    はm価のC2−30アルキレン、C3−30シクロアルキレン、C6−30アリーレン、C7−30アラルキレン基であり、
    は独立して、β−ジケトン、β−エステル−ケトン、β−ジ−エステル、または前記のものの少なくとも1種を含む組み合わせを含む塩基可溶性有機基である)
    の塩基可溶性モノマーと;
    前記式(I)の塩基可溶性モノマーに共重合可能な追加のモノマーと;
    の重合生成物を含むコポリマー。
  2. 各Xが独立して:
    Figure 2013010936
    であり、
    式中、XはOもしくは単結合であり、およびRはC1−20アルキル、C1−20フルオロアルキル、C3−20シクロアルキル、C6−20アリール、C7−20アラルキル、または前記のものの少なくとも1種を含む組み合わせである;
    請求項1に記載のコポリマー。
  3. 前記塩基可溶性モノマーが式(II):
    Figure 2013010936
    (式中、RはH、F、C1−6アルキル、またはCFであり、
    はm価であって、かつC2−30アルキレン、C3−30シクロアルキレン、C6−30アリーレン、C7−30アラルキレン基であり、
    nは1もしくは2であり、並びに
    はC1−20アルキル、C1−20フルオロアルキル、C3−20シクロアルキル、C6−20アリール、C7−20アラルキル、または前記のものの少なくとも1種を含む組み合わせである)
    を有する請求項1または2に記載のコポリマー。
  4. 前記塩基可溶性モノマーが
    Figure 2013010936
    (式中、RはH、F、C1−6アルキル、またはCFであり、
    はOもしくは単結合であり、並びに
    はC1−20アルキル、C1−20フルオロアルキル、C3−20シクロアルキル、C6−20アリール、C7−20アラルキル、または前記のものの少なくとも1種を含む組み合わせである)
    または、前記のものの少なくとも1種を含む組み合わせである、請求項1〜3のいずれか1項に記載のコポリマー。
  5. 前記追加のモノマーが酸脱保護性塩基可溶性基を有する(メタ)アクリラートモノマー、ラクトン官能基を有する(メタ)アクリラートモノマー、式(I)のとは同じでない塩基可溶性基を有する(メタ)アクリラートモノマー、または前記のモノマーの少なくとも1種を含む組み合わせである、請求項1〜4のいずれか1項に記載のコポリマー。
  6. 前記酸脱保護性モノマーが
    Figure 2013010936
    (式中、RはH、F、C1−10アルキルまたはC1−10フルオロアルキルである)
    または、前記のモノマーの少なくとも1種を含む組み合わせである、請求項5に記載のコポリマー。
  7. 前記ラクトン含有モノマーが
    Figure 2013010936
    (式中、RはH、F、C1−10アルキルまたはC1−10フルオロアルキルである)
    または、前記のモノマーの少なくとも1種を含む組み合わせである、請求項5に記載のコポリマー。
  8. 前記追加の塩基可溶性(メタ)アクリラートモノマーが
    Figure 2013010936
    (式中、RはH、F、C1−10アルキルまたはC1−10フルオロアルキルであり、並びにRはC1−4ペルフルオロアルキル基である)
    または、前記のモノマーの少なくとも1種を含む組み合わせである、請求項5に記載のコポリマー。
  9. 請求項1〜8のいずれか1項に記載の重合生成物を含むコポリマー;
    光酸発生剤;並びに、
    場合によっては、第二の酸感受性ポリマー、およびアミンまたはアミド添加剤;
    を含むフォトレジスト。
  10. 請求項9のフォトレジストを含む塗膜。
JP2012117271A 2011-05-27 2012-05-23 ポリマー組成物およびこのポリマーを含むフォトレジスト Expired - Fee Related JP5897986B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201161490883P 2011-05-27 2011-05-27
US61/490,883 2011-05-27

Publications (3)

Publication Number Publication Date
JP2013010936A true JP2013010936A (ja) 2013-01-17
JP2013010936A5 JP2013010936A5 (ja) 2016-03-17
JP5897986B2 JP5897986B2 (ja) 2016-04-06

Family

ID=46298243

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2012117271A Expired - Fee Related JP5897986B2 (ja) 2011-05-27 2012-05-23 ポリマー組成物およびこのポリマーを含むフォトレジスト

Country Status (5)

Country Link
US (1) US8603728B2 (ja)
EP (1) EP2527379A1 (ja)
JP (1) JP5897986B2 (ja)
CN (1) CN102796223A (ja)
TW (1) TWI507428B (ja)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5793489B2 (ja) * 2011-11-30 2015-10-14 富士フイルム株式会社 感活性光線性又は感放射線性組成物、それを用いたレジスト膜、パターン形成方法、及び電子デバイスの製造方法
US9581901B2 (en) 2013-12-19 2017-02-28 Rohm And Haas Electronic Materials Llc Photoacid-generating copolymer and associated photoresist composition, coated substrate, and method of forming an electronic device
JP6267532B2 (ja) 2014-02-14 2018-01-24 信越化学工業株式会社 レジスト保護膜材料及びパターン形成方法
KR102233577B1 (ko) 2014-02-25 2021-03-30 삼성전자주식회사 반도체 소자의 패턴 형성 방법
EP3238288B1 (en) * 2014-12-24 2020-07-29 Orthogonal Inc. Photolithographic patterning of electronic devices

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000510187A (ja) * 1996-05-10 2000-08-08 イー・アイ・デュポン・ドウ・ヌムール・アンド・カンパニー アクリルポリマー化合物
JP2006171667A (ja) * 2004-11-22 2006-06-29 Fuji Photo Film Co Ltd ポジ型レジスト組成物及びそれを用いたパターン形成方法
JP2007204385A (ja) * 2006-01-31 2007-08-16 Shin Etsu Chem Co Ltd フッ素アルコール化合物の製造方法、含フッ素単量体、高分子化合物、レジスト材料及びパターン形成方法
JP2007284368A (ja) * 2006-04-14 2007-11-01 Daicel Chem Ind Ltd (メタ)アクリル系単量体及びレジスト樹脂の保護膜用樹脂
JP2007284381A (ja) * 2006-04-18 2007-11-01 Daicel Chem Ind Ltd フォトレジスト用(メタ)アクリル系単量体、その高分子化合物及びフォトレジスト用樹脂組成物
JP2010060952A (ja) * 2008-09-05 2010-03-18 Shin-Etsu Chemical Co Ltd ポジ型レジスト材料及びパターン形成方法

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0654382B2 (ja) 1986-11-18 1994-07-20 富士写真フイルム株式会社 感光性組成物
AU632605B2 (en) * 1989-08-14 1993-01-07 Mitsubishi Rayon Company Limited Material for dentistry
US5229245A (en) * 1991-07-26 1993-07-20 Industrial Technology Research Institute Positively working photosensitive composition
US5185403A (en) * 1991-07-31 1993-02-09 Morton Coatings, Inc. Thermosetting acrylic polymers and coating compositions containing said acrylic polymers and fluorocarbon resins
US6011119A (en) * 1995-07-28 2000-01-04 Mitsui Chemicals, Inc. Resin composition for electrophotographic toner, and toner
JP3623058B2 (ja) 1996-06-13 2005-02-23 和光純薬工業株式会社 新規ポリマー及びこれを用いたレジスト組成物並びにこれを用いたパターン形成方法
TW491860B (en) 1997-04-30 2002-06-21 Wako Pure Chem Ind Ltd Acrylic or methacrylic acid derivatives and polymers obtained therefrom
JP3788549B2 (ja) 1997-09-30 2006-06-21 旭電化工業株式会社 ポリビニルブチラール樹脂組成物
US6254878B1 (en) * 1999-07-01 2001-07-03 E. I. Du Pont De Nemours And Company Nail polish compositions containing acrylic polymers
JP3984488B2 (ja) * 2001-03-27 2007-10-03 日本ペイント株式会社 硬化性塗料組成物および塗膜形成方法
US7575846B2 (en) * 2003-01-31 2009-08-18 Mitsubishi Rayon Co., Ltd. Resist polymer and resist composition
JP4301872B2 (ja) * 2003-06-19 2009-07-22 ダイセル化学工業株式会社 ラクトン環含有重合性単量体、高分子化合物、フォトレジスト用樹脂組成物、及び半導体の製造方法
US7063931B2 (en) * 2004-01-08 2006-06-20 International Business Machines Corporation Positive photoresist composition with a polymer including a fluorosulfonamide group and process for its use
JP4442887B2 (ja) * 2004-02-27 2010-03-31 三菱レイヨン株式会社 レジスト用重合体
EP1621927B1 (en) * 2004-07-07 2018-05-23 FUJIFILM Corporation Positive type resist composition for use in liquid immersion exposure and a method of forming the pattern using the same
JP4714488B2 (ja) * 2004-08-26 2011-06-29 富士フイルム株式会社 ポジ型レジスト組成物及びそれを用いたパターン形成方法
US7247419B2 (en) * 2005-04-11 2007-07-24 Az Electronic Materials Usa Corp. Nanocomposite photosensitive composition and use thereof
EP1720072B1 (en) * 2005-05-01 2019-06-05 Rohm and Haas Electronic Materials, L.L.C. Compositons and processes for immersion lithography
JP2008165146A (ja) * 2007-01-05 2008-07-17 Fujifilm Corp ポジ型感光性組成物、それを用いたパターン形成方法及び該ポジ型感光性組成物に用いられる樹脂
KR20080084745A (ko) * 2007-03-14 2008-09-19 후지필름 가부시키가이샤 레지스트 표면 소수화용 수지, 그 제조방법 및 그 수지를함유하는 포지티브 레지스트 조성물
JP4621754B2 (ja) * 2007-03-28 2011-01-26 富士フイルム株式会社 ポジ型レジスト組成物およびパターン形成方法
WO2010071029A1 (ja) * 2008-12-15 2010-06-24 セントラル硝子株式会社 含フッ素重合性単量体、含フッ素重合体、レジスト材料及びパターン形成方法
KR101413611B1 (ko) * 2009-04-21 2014-07-01 샌트랄 글래스 컴퍼니 리미티드 탑코트 조성물 및 패턴 형성 방법
JP5698923B2 (ja) 2009-06-26 2015-04-08 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 自己整合型スペーサー多重パターニング方法
JP5741297B2 (ja) * 2010-08-05 2015-07-01 Jsr株式会社 感放射線性樹脂組成物、レジストパターン形成方法及び重合体

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000510187A (ja) * 1996-05-10 2000-08-08 イー・アイ・デュポン・ドウ・ヌムール・アンド・カンパニー アクリルポリマー化合物
JP2006171667A (ja) * 2004-11-22 2006-06-29 Fuji Photo Film Co Ltd ポジ型レジスト組成物及びそれを用いたパターン形成方法
JP2007204385A (ja) * 2006-01-31 2007-08-16 Shin Etsu Chem Co Ltd フッ素アルコール化合物の製造方法、含フッ素単量体、高分子化合物、レジスト材料及びパターン形成方法
JP2007284368A (ja) * 2006-04-14 2007-11-01 Daicel Chem Ind Ltd (メタ)アクリル系単量体及びレジスト樹脂の保護膜用樹脂
JP2007284381A (ja) * 2006-04-18 2007-11-01 Daicel Chem Ind Ltd フォトレジスト用(メタ)アクリル系単量体、その高分子化合物及びフォトレジスト用樹脂組成物
JP2010060952A (ja) * 2008-09-05 2010-03-18 Shin-Etsu Chemical Co Ltd ポジ型レジスト材料及びパターン形成方法

Also Published As

Publication number Publication date
TWI507428B (zh) 2015-11-11
US20120301823A1 (en) 2012-11-29
CN102796223A (zh) 2012-11-28
JP5897986B2 (ja) 2016-04-06
TW201307406A (zh) 2013-02-16
EP2527379A1 (en) 2012-11-28
US8603728B2 (en) 2013-12-10

Similar Documents

Publication Publication Date Title
JP6463858B2 (ja) フォトレジスト組成物
KR102062561B1 (ko) 포토레지스트 조성물, 포토레지스트 조성물을 포함하는 코팅된 기판, 및 전자 소자를 형성하기 위한 방법
JP5897986B2 (ja) ポリマー組成物およびこのポリマーを含むフォトレジスト
US20230212112A1 (en) Photoacid-generating monomer, polymer derived therefrom, photoresist composition including the polymer, and method of forming a photoresist relief image using the photoresist composition
TWI462897B (zh) 光酸產生劑及含該光酸產生劑之光阻劑
JP5935910B2 (ja) 重合体
KR102062559B1 (ko) 광산 발생제
JP5913076B2 (ja) 脂環式モノマー、これを含むポリマー、およびこのポリマーを含むフォトレジスト組成物
TW201111355A (en) (meth) acrylate compound, photosensitive polymer, and resist composition
KR101395679B1 (ko) 폴리머 조성물 및 폴리머를 포함하는 포토레지스트
KR20120132431A (ko) 포토레지스트 조성물

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20141203

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20151021

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20151102

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20160202

A524 Written submission of copy of amendment under article 19 pct

Free format text: JAPANESE INTERMEDIATE CODE: A524

Effective date: 20160202

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20160216

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20160303

R150 Certificate of patent or registration of utility model

Ref document number: 5897986

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees