JP2012506144A - ビア配線を作るための方法 - Google Patents

ビア配線を作るための方法 Download PDF

Info

Publication number
JP2012506144A
JP2012506144A JP2011532042A JP2011532042A JP2012506144A JP 2012506144 A JP2012506144 A JP 2012506144A JP 2011532042 A JP2011532042 A JP 2011532042A JP 2011532042 A JP2011532042 A JP 2011532042A JP 2012506144 A JP2012506144 A JP 2012506144A
Authority
JP
Japan
Prior art keywords
via hole
substrate
etching
opening
forming
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2011532042A
Other languages
English (en)
Other versions
JP5654471B2 (ja
Inventor
ニルソン,ピーター
ライプ,ユルゲン
トールスルンド,ロベルト
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASTC AEROSPACE AB
Original Assignee
ASTC AEROSPACE AB
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASTC AEROSPACE AB filed Critical ASTC AEROSPACE AB
Publication of JP2012506144A publication Critical patent/JP2012506144A/ja
Application granted granted Critical
Publication of JP5654471B2 publication Critical patent/JP5654471B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B7/00Microstructural systems; Auxiliary parts of microstructural devices or systems
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00015Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems
    • B81C1/00023Manufacture or treatment of devices or systems in or on a substrate for manufacturing microsystems without movable or flexible elements
    • B81C1/00087Holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/486Via connections through the substrate with or without pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/12Mountings, e.g. non-detachable insulating substrates
    • H01L23/14Mountings, e.g. non-detachable insulating substrates characterised by the material or its electrical properties
    • H01L23/147Semiconductor insulating substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0657Stacked arrangements of devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/16Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof the devices being of types provided for in two or more different main groups of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. forming hybrid circuits
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2203/00Basic microelectromechanical structures
    • B81B2203/03Static structures
    • B81B2203/0353Holes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2207/00Microstructural systems or auxiliary parts thereof
    • B81B2207/07Interconnects
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2207/00Microstructural systems or auxiliary parts thereof
    • B81B2207/09Packages
    • B81B2207/091Arrangements for connecting external electrical signals to mechanical structures inside the package
    • B81B2207/094Feed-through, via
    • B81B2207/096Feed-through, via through the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/02Bonding areas; Manufacturing methods related thereto
    • H01L2224/04Structure, shape, material or disposition of the bonding areas prior to the connecting process
    • H01L2224/05Structure, shape, material or disposition of the bonding areas prior to the connecting process of an individual bonding area
    • H01L2224/0554External layer
    • H01L2224/0556Disposition
    • H01L2224/0557Disposition the external layer being disposed on a via connection of the semiconductor or solid-state body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06513Bump or bump-like direct electrical connections between devices, e.g. flip-chip connection, solder bumps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2225/00Details relating to assemblies covered by the group H01L25/00 but not provided for in its subgroups
    • H01L2225/03All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00
    • H01L2225/04All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers
    • H01L2225/065All the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/648 and H10K99/00 the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L2225/06503Stacked arrangements of devices
    • H01L2225/06541Conductive via connections through the device, e.g. vertical interconnects, through silicon via [TSV]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/00014Technical content checked by a classifier the subject-matter covered by the group, the symbol of which is combined with the symbol of this group, being disclosed without further technical details
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/14Integrated circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/146Mixed devices
    • H01L2924/1461MEMS

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Micromachines (AREA)

Abstract

この発明は、電子デバイス用の基板(3)の下側(5)から基板(3)を少なくとも部分的に通って基板(3)の上側(4)に向かうビアホール(9)またはビア(7)を形成する方法を提供する。この方法は、ビアホール(9)の第1の縦方向部分(11)をエッチングするステップと、ビアホール(9)の第2の縦方向部分(12)をエッチングするステップとを含み、それにより、第1の縦方向部分(11)および第2の縦方向部分(12)はビアホール(9)を実質的に形成し、ビアホール(9)に狭窄部(23)が形成される。狭窄部(23)はビアホール(9)の開口部(24)を規定し、この方法は、狭窄部(23)がエッチングマスクとして機能している状態でエッチングすることによってビアホール(9)を開けるステップをさらに含む。ビアは、ビアホールを導電性材料で少なくとも部分的に充填することによって形成される。ビアを含む電気デバイス用の基板も提供される。

Description

発明の技術分野
この発明は、基板の一方の側から他方の側への電気ビア配線を含む基板を製造するための方法に関する。
発明の背景
マイクロエレクトロニクスおよびマイクロ電気機械システム(microelectromechanical systems:MEMS)の分野では、さらにより一層小型化されたデバイスおよびより高度の機能性に向かう急速な発展が、実装能力および配線能力によって制限されている。
この発明の方法によって製造される基板は通常、マイクロ電子デバイス、電子MEMSデバイス、電子ナノテクノロジーデバイス、およびより単純な電子デバイス用に意図されている。マイクロ電子デバイスは、基板の表面に一体化または配置された集積回路といったマイクロ電子部品を含む場合がある。MEMSデバイスは、たとえば半導体基板のマイクロ機械加工、または基板に対する表面マイクロ機械加工によって形成される場合がある。
これらの技術分野における基本的な構成ブロックは、しばしばウェハと呼ばれる基板であり、それらは通常、シリコンまたは他の半導体材料で作られている。電子部品は、そのような基板の表面に形成され、一体化され、または搭載されている。加えて、MEMS部品は、たとえば半導体基板のマイクロ機械加工、または基板に対する表面マイクロ機械加工によって形成される場合がある。基板は均質な材料でできていてもよく、もしくは、異なるおよび/またはドープされた材料の異なる層および/または領域を有していてもよい。通常、基板には、配線および搭載用のパッドとルーティングとが設けられる。さらにより一層小型化されたデバイスおよびより高度の機能性に向かう急速な発展に伴い、ウェハの両側間に電気ビア配線を作ることに関心が高まっている。以下、電気ビア配線を、ビア配線または単にビアとも呼ぶ。これらのビアを用いると、従来使用されてきた信頼できない高価なワイヤボンディングが回避され、部品はより密に実装され得る。
多数のビアプロセスおよび設計が、これまで提示されてきた。ビアを作るための方策は2種類に分けることができる。第1の種類では、ビアはウェハ材料によって形成され、たとえばドープされた半導体ビアである。第2の種類では、たとえばレーザアブレーション、穿孔、ウエットエッチング、またはドライエッチングを用いて、ウェハにビアホールが形成される。その後、たとえば物理的気相成長(physical vapour deposition:PVD)プロセスを用いて、ビアホールの少なくとも側壁に導電性材料が堆積される。(電気抵抗を低減させるために)ビアの断面積を増加させるため、通常、金属または金属合金が導電性被膜上にめっきされる。金属または金属合金の導電性はより高いため、第1の種類のビアは一般に、第2の種類のビアと比べて比較的高い抵抗を有する。第2の種類に属するビア配線設計および作製プロセスの一例が、国際特許出願WO2009/005462 A1に開示されている。この設計は、マイクロエレクトロニクス、MEMS、およびナノテクノロジーの分野における従来の処理技術に適合するプロセスを用いて、歩留まりが高く信頼できるウェハ貫通ビアを提供する狭窄部を有するビアホールを含む。
上述のように、小型化の傾向は続いており、このため、部品が小さくなるにつれて、基板にビアをより密に配置する必要があり、また、パッドサイズが小さくなるにつれて、ビアの配置および横方向サイズの精度を高める必要がある。これを達成するための手段は、高アスペクト比のビアの形成を含む。第2の種類の高アスペクト比のビアの形成は、特にビアホールのエッチングおよび導電性材料の堆積に関する問題のため、困難である。狭く、高アスペクト比のビアホールを高い配置精度で形成できるものの、そのようなビアホールには導電体材料を容易に設けることができない。このため、ビアホールの有効直径、すなわちビアホールの最大幅部分を、通常、導電性材料の堆積用にビアホールの側壁を露出させるためにテーパ付きビアホールを形成することによって、大きくする必要がある。この拡大は、基板の前側の部品に対するビアの配置精度を制限する。なぜなら、前側でのビアホールの開口は、慎重に制御されなければならないためである。
異なる材料の層を通って延びるビアを形成することがしばしば望ましく、おそらく、ビアがエッチング停止層で終わることが望まれる。すなわち、形成されたビアホールは一端で閉じられなければならない。たとえば、基板の一方の側から、基板の他方の側の、酸化シリコンおよび窒化シリコンといった異なる層で作られた複数の下地層の上に配置されたパッドまで延在するビアを有することが、しばしば望ましい。先行技術の技術を用いたビアホールのエッチングは通常、異なるエッチング速度に起因する、異なる材料の層または前記下地層の不十分な、もしくは制御不能なエッチングを生じさせる。同様の問題が、基板を通ってエッチングし、基板表面上に配置された金属層といった異なる材料の層で終わる場合に生じる。その場合、異なる材料の層への界面でのビアホールの制御不能な拡大が起こる場合がある。特に、これらは、高アスペクト比のビアホールにとって、問題である。
前述に鑑みて、この発明の1つの目的は、ビアホールおよびビアの形成を改良することであり、それにより、より信頼性が高く、電気デバイスのより効率的な実装を可能にするビアホールおよびビア配線を提供することである。
このため、電子デバイス用の基板の下側から基板を少なくとも部分的に通って基板の上側に向かうビアホールを形成する方法が提供される。ビアホールを形成する方法は、ビアホールの第1および第2の縦方向部分をエッチングするステップを含み、それにより、第1の縦方向部分および第2の縦方向部分はビアホールを実質的に作り上げ、ビアホールに狭窄部が形成される。狭窄部は、エッチング、好ましくは異方性エッチングによってビアホールを開ける次のステップでエッチングマスクとして機能するビアホールの開口部を規定する。
下側から見ると、開口部はビアホールの狭窄化を規定する。この狭窄化は、異なる形状を取り得る。エッチングのステップによって部分的に形成されたビアホールは、たとえば、第1の縦方向部分の少なくとも部分的に傾斜する壁と第2の縦方向部分の実質的に垂直の側壁とを有する漏斗形状であってもよい。また、これに代えて、第2の縦方向部分のエッチングは、ビアホールが開口部から外側に広がること、すなわちビアホールにくびれがあることをもたらす。したがって、開口部は、ビアホールの長さの一部に沿って細長くなっていてもよく、または、開口部は、ビアホールの1点で明確に規定されてもよい。
ビアホールが開口部から外側に広がる場合のビアホールは、好ましくは等方性エッチングによって達成されるが、これに限定されない。
開口は好ましくは、異方性エッチング、より好ましくはイオンミリングを用いて行なわれる。それにより、特にビアホールが高アスペクト比を有する場合、開口部は、開けるべき区域を効率的に規定する。
ビアホールを広げるための等方性エッチングとビアホールを開けるための異方性エッチングとの組合せは、異なる材料の多層を含む基板を通るビアホール、および金属などの異なる材料のエッチング停止層で終わるビアホールを形成する際、制御されたエッチングおよび高い精度のため、特に有利である。
処理中、ビアホールは、基板の下側まで開いており、自由大気まで、もしくは、パッド、エッチング停止層、あるいは基板に一体化または搭載された任意の他の層または部品まで開けられ得る。
基板の下側から基板を少なくとも部分的に通って基板の上側に向かうビアを形成する方法も提供される。この方法は、この発明に従ったビアホールを形成するステップと、次に、ビアホールを通る導電経路を提供するために、ビアホールを導電性材料で少なくとも部分的に充填するステップとを含む。
電気デバイス用の基板であって、基板の下側から基板を少なくとも部分的に通って基板の上側に向かうビアホールを含む、基板が提供される。基板は、基板の上側に形成された2つ以上の異なる材料の個々の層を有する多層構造を含む。ビアホールは、多層構造を通って延在し、ビアホールを通る導電経路を提供するために、導電性材料で少なくとも部分的に充填され、それによりビアを形成している。ビアホールの狭窄部が、ビアホールの開口部を規定する。ビアホールは、上側に向かって、かつ多層構造内へと、開口部から外側に広がり、開口部の幅に本質的に対応する幅を有して、自由大気まで、もしくは、パッド、エッチング停止層、あるいは基板に一体化された任意の他の層または部品まで、上方に開いている。
さらに、密封された空洞を含む基板、およびそれを形成する方法が提供される。密封された空洞は、この発明に従った少なくとも1つのビアを含み、ビアは、密封された空洞と基板の下側との電気的接続を提供する。基板は2つ以上のウェハを含んでいてもよく、各ウェハ間に空洞が形成される。そのような密封された空洞は、実装用に使用可能である。ビアホールは、空洞内へと延在する導電トレース、またはビアに位置するパッドまで開けられる。
この発明の一実施例では、ビアホールの長手方向軸に垂直なビアホールの断面は、細長く、好ましくは矩形であり、それは開口用のさらに制御された条件を提供する。
この発明により、基板の一方の側の部品またはパッドの、基板の反対の側からの精密で信頼できる接触を提供することが可能である。
この発明の実施例は、従属請求項で定義される。この発明の他の目的、利点、および新規な特徴は、添付図面および請求項とともにこの発明の以下の詳細な説明を検討すれば、明らかとなるであろう。
この発明の好ましい実施例を、添付図面を参照して以下に説明する。
この発明に従ったビアを形成するステップの概略図である。 この発明に従ったビアを形成するステップの概略図である。 この発明に従ったビアを形成するステップの概略図である。 この発明に従ったビアを形成するステップの概略図である。 この発明に従ったビアを形成するステップの概略図である。 この発明に従った(a、b)ビアホールおよび(c)ビアの概略図である。 この発明に従った、下地層を通ってパッドまで延在するビアを形成するステップ(a)〜(d)を概略的に示す図である。 この発明に従った、(a)開口部から外側に広がるビアホール、(b)基板を通るビアホール、および(c)多層構造を通るビアホールの形成を概略的に示す図である。 この発明に従った、異なる材料の多数の層を有する基板におけるビアホールの形成を概略的に示す図である。 この発明に従ったビアを形成するステップを概略的に示す図である。 この発明に従った密封された空洞を概略的に示す図である。 この発明に従った矩形形状のビアホールを概略的に示す図である。
実施例の詳細な説明
本願の目的のために、「基板」という用語は、エレクトロニクス、マイクロエレクトロニクス、およびMEMSの分野において一般にウェハと呼ばれるものを指すよう意図されている。基板は好ましくは、結晶シリコンといった結晶半導体材料を含む。しかしながら、これが限定を含まないことは明らかであるはずである。なぜなら、より一般的には、SixGe1-x(0≦x≦1)、または、これらの目的のためによく使用される任意の他の材料が使用可能なためである。基板は単結晶であってもよく、または、それは互いに積層された2つ以上の層を含んでいてもよい。これらの層はすべて半導体材料で作られてもよいが、1つ以上の層が絶縁体材料、誘電材料、金属、または金属合金で作られてもよく、それらは、堆積、成長、接合、またはそれらの組合せによって、基板に既に含まれていてもよい。たとえば、それは、いわゆるシリコン・オン・インシュレータ(silicon-on-insulator:SOI)基板であってもよい。1つ以上の層が、たとえばパッドまたはルーティングを形成する限定された横方向延在部を有していてもよい。さらに、基板またはその表面に、パッドおよび/または部品が既に含まれていてもよい。
図1〜6を参照して、基板3の下側5から基板3を少なくとも部分的に通って基板3の上側4に向かうビアホール9を形成する方法は、
ビアホール9の第1の縦方向部分11をエッチングするステップと、
ビアホール9の第2の縦方向部分12をエッチングするステップとを含み、それにより、第1の縦方向部分11および第2の縦方向部分12はともにビアホール9を実質的に形成する。言い換えると、ビアホール9を形成するためにエッチングされるべき基板3のごく一部が残されるまで、エッチングは続けられる。第1の縦方向部分11および第2の縦方向部分12のエッチングのため、ビアホール9の開口部24を規定する狭窄部23が形成される。その後、狭窄部23がエッチングマスクとして機能している状態でエッチングすることによってビアホール9が開けられる。すなわち、残された基板の一部がエッチングで除去される。
ビアホールが形成されると、ビアホール9を通る導電経路を提供するために、ビアホール9を導電性材料25で少なくとも部分的に充填することによって、以下で単にビアと呼ばれるビア配線を形成するために、処理が続けられてもよい。
上述から理解されるように、ビアホールまたはビアは、基板3を通って、もしくは基板3を一部だけ通って延在してもよい。一例として、ビアホールまたはビアは、基板3の下側5を、たとえば、基板3の上側4のパッド、部品、または導電層と接続してもよい。
充填は、好ましくは、ビアホール9の側壁に導電性材料25を堆積させることによって行なわれる。これは、ビアホールの少なくとも側壁に対して、たとえば物理的気相成長(PVD)プロセス、または化学的気相成長(chemical vapour deposition:CVD)、原子層堆積を用いて行なわれ得るが、これらのプロセスに限定されない。しかしながら、これは、導電性材料の限定された厚さしか与えないであろう。電気めっきおよび/または無電解めっきも、単独で、またはたとえばPVDまたはCVDと組合せて使用することができる。めっきは、導電層の厚さの増大を可能にする。
ドライエッチング、特に深堀り反応性イオンエッチング(deep reactive ion etching:DRIE)といった異方性エッチングが、この方法のステップの少なくとも一部において、好ましくは使用される。DRIEは、ピッチの細かいビアアレイの形成を可能にする高アスペクト比のビアホールを形成するのに好適である。図1、図5、および図6に概略的に示すような異なる形状寸法のビアホールを形成するために、ドライエッチングおよびウエットエッチングを含む異なる異方性エッチング法同士を組合せて使用することが可能であり、または、異方性エッチングを等方性エッチングと組合せることが可能である。一例として、まず、KOHエッチングといった異方性ウエットエッチングによって、ある特定の形状寸法を有する窪みを、基板3の下面に形成することができる、次に、窪みの連続したエッチングのためにDRIEが使用され、それにより、その特定の形状寸法が底に保たれた、より深い窪みが形成される。
好ましくは、ビアホールは異方性エッチングを用いて開けられる。特に、狭く、高アスペクト比のビアホールを開ける場合、これは有利である。
図1は、この発明の一実施例に従った、基板3を通るビア7の形成を概略的に示す。a)に、エッチング前の基板3が示されている。b)では、基板3の下側から第1の縦方向部分11がエッチングされ、それにより、実質的に垂直の側壁16(しかしながら、これに限定されない)と下方傾斜側壁20とを有する窪みが形成される。当業者には理解されるように、このステップは通常、ビアホールの位置を規定するために、フォトリソグラフィ・プロセスといったある種のマスキングを伴う。c)では、第2の縦方向部分12が、異方性エッチング(しかしながらこれに限定されない)によって形成される。下方傾斜側壁20と第2の縦方向部分12の側壁とによって、狭窄部23が規定される。第2の縦方向部分12の側壁によって、ビアホールの開口部24が規定される。d)では、基板3の残りの部分をエッチングすることによってビアホール9が開けられ、それにより、下側5と上側4との接続が確立される。e)では、ビアホール9の側壁に導電層26を形成することによって、下側5から上側4への導電経路を提供するビアが得られる。
ビアホール9を形成する方法は、ビアホール9を開ける前に、オプションでフォトリソグラフィプロセスを含む、ビアホール9の側壁の少なくとも一部をマスキングするステップを、さらに含んでいてもよい。マスキングにより、予め定められた区域のみにビアホールを開けるためにエッチングを施すことが可能となる。
図2aは、ビアホール9の側壁に既に形成されたマスク26を用いて開けられたビアホール9を概略的に示す。たとえば、マスク26は、部分的に形成されたビアホールの側壁全体に堆積され、次に、部分的に形成されたビアホールの底だけにビアホールを開けるよう、リソグラフィでパターン化されてもよい。
上述のように、基板は、互いに積層された1つ以上の層を含んでいてもよく、それにより、多層構造を形成する。図2bでは、ビアホールは、基板3の上側4の表面領域の多層構造8を通って形成されている。ビアホール9は必ずしも自由大気まで開いていなくてもよいが、ビアホールまたはビアは、部品、エッチング停止層などといった基板3に一体化された構造で終わってもよく、または、図2cに概略的に示すように、基板3の上側4上に配置されたパッド22で終わってもよい。イオンミリングは、エッチングされる基板が、イオンまたは他の荷電粒子の衝撃にさらされるプロセスである。これらの粒子は、基板に衝突して基板を物理的に侵食する。これは、基板材料、マスキング材料などをエッチングするために使用可能である。イオンミリングは指向性、すなわち異方性であり、化学成分を有していない。これは、特に狭窄部23をエッチングマスクとして用いた場合、ビアホール9の底で異方性エッチングを達成する能力を増加させる。イオンミリングはまた、エッチング速度を増加させるために、またはエッチング特性を改良するために、化学エッチングと組合され得る。これは、真空/不活性ガスを用いる代わりに反応性ガスを用いて行なわれ得る。しかしながら、基板を「エッチングする」のは、依然としてイオン衝撃である。この発明に従ったイオンミリングは、1:10までのアスペクト比、およびそれ以上を提供可能である。イオンミリングは、好ましくは、この発明に従った開けるステップで使用される。部分的に形成されたビアホールの異なる部分では、イオンの入射角が異なるため、側壁は均一にはエッチングされない。衝突するイオンに面する側壁のエッチング速度は、垂直側壁または傾斜側壁のエッチング速度よりはるかに高い。エッチングはまた、図2aに示すように、部分的に形成されたビアホールの側壁をマスキングすることによって、ある区域に制限され得る。イオンの指向性の高い衝突のため、ビアホール9が異方性エッチングによって開けられ、開口のサイズは開口部24によって効率的に制御される。イオンのこの指向性の高い衝突は、図2bの構造のように異なるエッチング特性を有する層を含む多層構造を通ってビアホール9を開ける場合、または図2cなどに示すようにエッチング停止層、パッド22までビアホール9を開ける場合に、特に重要である。これらの状況において反応性イオンエッチングを使用する場合、望ましくない横方向のエッチングが害を及ぼす場合がある。イオンミリングを用いると、単純な手段によって、制御され信頼できるエッチングが達成可能である。
図3は、この発明の一実施例に従った、単結晶シリコン基板3といった基板3の下側5から、上側4上の、酸化シリコン層といった下地層19を有する金属パッドといったパッド22までのビア7の形成におけるステップa)〜d)を概略的に示す。このプロセスでは、下地層19は好ましくはエッチング停止層として使用されるが、これに限定されない。a)では、ビアホール9の第1の縦方向部分11と第2の縦方向部分12とが形成される。第1の縦方向部分11は、下地層19までずっと延在してもよく、または、好ましくは、図示されているように、第1の縦方向部分11は、下地層11から予め定められた距離離れた位置で止まっている。第2の縦方向部分のエッチングは、ビアホール9が意図的に上側4に向かって開口部24から外側に広がることをもたらし、それにより狭窄部23が形成され、第1の縦方向部分11の下方傾斜壁20と第2縦方向部分の上方傾斜壁21とによって規定され、開口部24は前記傾斜壁20と21との交点にある。b)では、狭窄部23がエッチングマスクとして機能している状態で、ビアホール9を開けるため、およびパッド22を露出させるために、下地層19がエッチングされる。好ましくは、異方性エッチングが使用される。一例として、反応性イオンエッチング、または好ましくはイオンミリングが使用可能である。オプションとして、c)に示すように、ビアホール9の側壁は、絶縁層といった薄膜27で覆われる。そのような薄膜は、さらなる処理の間、保護層として一時的に使用されてもよく、または、本実施例のように、薄膜は、側壁の上に永続的な絶縁層を提供する。このステップでは、パッド22上に堆積された過剰な絶縁層(図示せず)を除去する際、狭窄部をエッチングマスクとして再度使用することが可能である。最後に、e)に示すように、下側5からパッド22への導電経路を形成するために、ビアホール9の側壁上に導電性材料が形成される。これは、たとえば、無電解析出を用いて行なわれ得る。オプションとして、パッド22上に堆積された過剰な導電性材料を除去するためのエッチングマスクとして、狭窄部23が再度使用される。本実施例に従ったビアホール9の拡大は、ノッチングと呼ばれてもよい。
図4aは、開口部から外側に広がるビアホールを概略的に示す。ビアホールのこの部分の形状は、エッチングパラメータおよび処理パラメータのタイプに依存する。第2の縦方向部分12をエッチングするステップにおけるビアホールのノッチングは、等方性エッチングによって得ることができる。図4は、(b)開口前のビアホール9、および(c)ビアホール9の開口後を概略的に示す。第1の縦方向部分11がエッチングされており、それにより下方傾斜壁20が形成され、そして、第2の縦方向部分12をエッチングするステップにおける等方性エッチングのため、ビアホール9は上側4に向かって外側に広がり、基板3の上側4に接近する空洞を形成する。下方傾斜壁20と等方性エッチングされた空洞の一部とが狭窄部23を形成し、それにより、エッチング用の開口部24を形成する。狭窄部23がエッチングマスクとして機能している状態で、ビアホール9が、異方性エッチング、好ましくはイオンミリングを用いて開けられる。
図4cは、基板3の上側4に異なる材料で作られた複数の層、すなわち多層構造8を含む基板を通って延在するビアホールを概略的に示す。図4aを参照して説明されたプロセスと同様に、多層構造8を通って少なくとも部分的にエッチングするために、等方性エッチングが使用される。オプションとして、多層構造8を保護するために、ビアホール9を開ける前に、好ましくは絶縁体材料で作られたマスキング層が、部分的に形成されたビアホールの側壁上に堆積される。イオンミリングといった異方性エッチングプロセスを使用することにより、明確に規定された開口を提供可能である。それにより、異なる層の異なるエッチング特性は問題ではない。多層構造8は通常、厚さが全体で5μm未満である。各層の厚さは0.01〜1μmであってもよいが、通常、10〜300nmである。基板の厚さ、ひいてはビアホールの深さは50〜1000μmであり得るものの、通常、100〜700μmであり、最大直径は500μm未満で、通常は80〜200μmの範囲にあるものの、開口部幅が約1〜50μm、好ましくは5〜30μmだと約20μmと小さい場合があるため、図4aが縮尺通りではなく、たとえば、多層構造およびノッチング部分の厚さが誇張されていることを理解されたい。
好ましい代替例としてイオンミリングが開示されてきたが、他の指向性エッチングも、単独で、またはイオンミリングと組合せて使用することができる。たとえば、イオンミリングはドライエッチング法と組合せることができる。
上述の説明から理解されるように、この方法は、ビアを製造する際、エッチングマスクとして使用可能な狭窄部23を有するビア7を提供する。加えて、使用中、狭窄部23は、導電性材料の付着の改良を提供し、また導電性材料への機械的支持を与え、それはビア7の頑健性および信頼性を高める。国際特許出願WO2009/005462 A1は、狭窄部を有するビアホールを形成するための方法と、ビア用のそのようなビアホールを使用する利点とをさらに記載している。
深堀り反応性イオンエッチング(DRIE)といったドライエッチングがしばしば好ましいものの、ウエットエッチングも使用可能である。ウエットエッチングとドライエッチングとの組合せを使用することも可能である。たとえば、v字型の窪みを作り出すためにウエットエッチングを使用してもよく、それは、次に基板をドライエッチングする間、部分的に形成されたビアホールの底に保持されている。そのような部分的に形成されたビアホールの一例を図5bに示す。図5bは、実質的に垂直の側壁を有するビアホールの第1の部分と、ウエットエッチングされた窪みの傾斜壁を複製している傾斜壁を有するビアホールの第2の部分とを有するビアホールを示す。当業者には理解されるように、傾斜壁はDRIEによっても得ることができる。
この発明の一実施例では、ビアホールの第1の縦方向部分をエッチングするステップは、
図5aに概略的に示すように、基板3の下側5に、傾斜側壁18を有する窪み28を形成するステップと、
図5bに概略的に示すように、異方性エッチングによって狭窄部23の下方傾斜壁20を形成するステップとを含み、下方傾斜壁20は、窪み28の傾斜壁18および第1の縦方向部分11の複製(replicas)であり、それにより、下方傾斜壁20と下側5との間に実質的に垂直の側壁が形成される。
傾斜壁18のエッチングは、好ましくはウエットエッチングを用いて行なわれるが、傾斜壁を形成するプロセスを適合させることにより、DRIEといったドライエッチングも使用可能である。
一例として、図5は、基板3の上側4の表面領域の多層構造8と、多層構造8の上に配置されたパッド22とを含む基板におけるビアホールの形成を概略的に示す。第1の縦方向部分11をエッチングした後で、エッチングは、第2の縦方向部分12の等方性エッチングによって続けられ、それにより、狭窄部23が形成され、多層構造8が少なくとも部分的にエッチングされる。狭窄部23がエッチングマスクとして機能している状態で、ビアホール9が、異方性エッチングを用いて、多層構造8の残りの部分を通ってパッド22まで開けられる。
基板の前側に或る種の停止層を有する基板を有する場合、エッチングは最後にこの停止層と遭遇し、遭遇した層のエッチング速度は、材料が適正に選択されているならば、基板のエッチング速度よりも著しく低いであろう。一例として、ウエットエッチング法とドライエッチング法との組合せを用いる場合、上側の小さい開口と、下側5から延在する垂直の側壁とを有するビアホールを得ることが可能であり、その場合、小さい開口と垂直の側壁とは、狭窄部23を部分的に形成する傾斜側壁によって接続される。
上述のように、ビアホールの端部は、フォトリソグラフィを用いてマスキングされてもよい。これは、フォトレジストといった感光性マスキング材料がビアホールの底に達するのに十分なほど、ビアホールの幅が広い場合に可能であり、ビアホールの底部の傾斜側壁は、ビアホール内のマスキング材料の高精細パターニングを可能にする。プロセス制御、すなわち、厚さ、均一性、およびマスキング材料がトレンチの底に達する能力をさらに高めるために、噴霧法を使用してもよい。この方法は、不規則で構造化した表面上に噴霧材料の均一な分布を提供するという点で、他の堆積法を上回る利点を有する。加えて、それは塗布が非常に簡単であり、非常に薄い層を正確な厚さ制御で塗布することを可能にする。
この発明の一実施例では、部分的に形成されたビアホールの底を形成する、基板3の上側4の上の層は、金属である。たとえば、イオンビームエッチング(ion beam etching:IBE)、集束イオンビーム(focused ion beam:FIB)、反応性イオンエッチング(RIE)、または反応性イオンビームエッチング(RIBE)であり得るイオンミリング法を使用することにより、この方法の指向性は、部分的に形成されたビアホールの底のパターン化構造をエッチングすることを可能にする。この方法は、たとえば、ビアホールを通して、基板の裏側の第1の2つの層を通るようエッチングし、金属で止まるよう、使用することができる。たとえばTi、TiW、Cu、Alなどの金属材料といった導電性材料、またはIC業界でよく使用される任意の他の導電性材料でビアホールを充填し、または少なくともビアホールの側壁を覆うことにより、基板の下側から上側への導電経路、すなわちビア7を達成してもよい。基板の上側の金属は、デバイスまたは構造の一部であってもよく、それはこのため、ビアを通して、構造の下から電気的に接触可能である。デバイスまたは構造は複数の端子を含んでいてもよく、ここで、各端子はそれぞれのビアを通して、構造の下から接触される。
密封された空洞30と基板3の下側5との電気的接触を提供するビア7を有する、密封された空洞30を形成する方法を表わす、この発明の一実現化例を、図7a〜dに概略的に示す。図示されているように、密封されたビアは2つのウェハ間に形成されてもよい。そのような密封された空洞は、実装用に使用可能である。図7a〜eは、この発明に従ったビアホールの異なる形状の例を概略的に示す。オプションとして、絶縁層、または1つ以上の追加の層、たとえば追加の導電層が、ビア7の導電層(図示せず)と半径方向構成で追加されてもよい。密封された空洞30は、たとえば、ウェハのうちの一方において空洞をエッチングし、空洞へと少なくとも部分的に延在するよう意図された導電トレース31および/またはパッド22をウェハのうちの少なくとも一方に形成し、ウェハ同士を接合することにより、またはウェハ同士を結合する他の手段により空洞を密封することによって、形成可能である。電気的接続は、この発明に従ったビアホール7およびビア9を形成する方法を用いることによって提供される。導電トレースに接触し、下側5から空洞への電気的接続を形成する導電性材料を堆積させる前に、ビアホールが導電トレースまで開けられる。代替的な一実施例では、ビアホールは、空洞に直接配置されたパッドまで開けられる。
図7eを参照して、上述のように、狭窄部をエッチングマスクとして使用して、ビアホール9が開けられる。すなわち、開けられた穴の直径は、開口部のサイズによって規定される。しかしながら、開けられた穴の直径は、開口部の直径と異なっていてもよい。図7eでは、開けられた穴の直径は、狭窄部の上方傾斜壁によって規定されている。
この発明の一実施例では、この発明に従った基板3のビアホール9は、上側(4)または下側(5)から見ると、細長く、たとえば矩形であり、すなわち、横方向の断面が細長い。図8は、ウェハ貫通ビアホール9の上面図と、線A−Aおよび線B−Bに沿った2つの断面図とを概略的に示す。好ましくは、幅と長さとの比率は少なくとも1:1.5であり、より好ましくは1:2〜1:10の範囲である。この種のウェハ貫通ビアホールを用いることにより、エッチング速度を改良することができ、さらに、ビアホールの開口を改良することができる。
この発明の方法を用いると、厚い基板に、最大直径が500μm未満で、通常は80〜200μmの範囲にあるものの、約20μmと小さい場合がある、小さいビアホールの構造をエッチングすることが可能である。基板の厚さ、ひいてはビアホールの深さは50〜1000μmであり得るものの、通常、100〜700μmである。さらに、開口部幅は約1〜50μm、好ましくは5〜30μmである。
上、下、縦方向、底といった言及はすべて、理解しやすさのためにのみ導入されており、特定の配向に限定するものとして考えられるべきではない。通常、ウェハは基板として使用される。これらのウェハは2つの主面を有し、それらは双方とも、部品、ルーティング、アセンブリなどのために使用可能である。縦方向とは、そのような主面に垂直な方向に平行な方向を指す。さらに、図面における構造の寸法は必ずしも縮尺通りではない。
この発明を、現在最も実践的で好ましい実施例と考えられるものに関連して説明してきたが、この発明が開示された実施例に限定されるべきではないこと、むしろ、それは添付された請求項の範囲内のさまざまな変更および均等な構成を網羅するよう意図されていることが理解されるべきである。

Claims (16)

  1. 電子デバイス用の基板(3)の下側(5)から基板(3)を少なくとも部分的に通って基板(3)の上側(4)に向かうビアホール(9)を形成する方法であって、前記方法は、
    ビアホール(9)の第1の縦方向部分(11)をエッチングするステップと、
    ビアホール(9)の第2の縦方向部分(12)をエッチングするステップとを含み、それにより、第1の縦方向部分(11)および第2の縦方向部分(12)はビアホール(9)を実質的に形成し、ビアホール(9)に狭窄部(23)が形成され、
    狭窄部(23)がビアホール(9)の開口部(24)を規定すること、および、前記方法が、狭窄部(23)がエッチングマスクとして機能している状態でエッチングすることによってビアホール(9)を開けるステップをさらに含むことを特徴とする、方法。
  2. ビアホール(9)の第2の縦方向部分のエッチングの際、ビアホール(9)は上側(4)に向かって開口部(24)から外側に広がる、請求項1に記載の方法。
  3. ビアホール(9)の第2の縦方向部分のエッチングは、等方性エッチングを含む、請求項2に記載の方法。
  4. ビアホール(9)を開けるステップは、異方性エッチングを含む、請求項1または3に記載の方法。
  5. 異方性エッチングはイオンミリングを含み、それにより、基板(3)に当たるイオンまたは他の荷電粒子の一部が開口部(24)を通過して異方性エッチングに寄与する、請求項4に記載の方法。
  6. 基板(3)は、基板(3)の上側(4)に形成された2つ以上の異なる材料の個々の層を含む多層構造(8)を含み、ビアホール(9)を開けるステップは、多層構造(8)の等方性エッチングを含む、請求項1または2に記載の方法。
  7. 基板(3)は、基板(3)の上側(4)に形成された2つ以上の異なる材料の個々の層を含む多層構造(8)を含み、ビアホール(9)の第2の縦方向部分(12)をエッチングするステップは、多層構造(8)の異方性エッチングを含む、請求項6に記載の方法。
  8. 基板(3)はエッチング停止層(10)を含み、第2の縦方向部分(12)の長手方向におけるエッチングはエッチング停止層(10)によって停止され、開けるステップは、エッチング停止層(10)のエッチングを含む、請求項1〜6のいずれかに記載の方法。
  9. ビアホール(9)を開けるステップの前に、ビアホール(9)の少なくとも側壁を薄膜で覆うステップをさらに含む、請求項6〜8のいずれかに記載の方法。
  10. ビアホール(9)の第1の縦方向部分をエッチングするステップは、
    基板(3)の下側(5)に、傾斜側壁(18)を有する窪み(28)を形成するステップと、
    異方性エッチングによって狭窄部(23)の下方傾斜壁(20)を形成するステップとを含み、下方傾斜壁(20)は、窪み(28)の傾斜壁(18)および第1の縦方向部分(11)の複製であり、それにより、下方傾斜壁(20)と下側(5)との間に実質的に垂直の側壁が形成される、請求項1に記載の方法。
  11. ビアホール(9)の断面は、上側(4)または下側(5)から見ると、細長く、好ましくは矩形である、上述の請求項のいずれかに記載の方法。
  12. 基板(3)の下側(5)から基板(3)を少なくとも部分的に通って基板(3)の上側(4)に向かうビア(7)を形成する方法であって、
    請求項1〜11のいずれかに記載のビアホールを形成するステップと、
    ビアホール(9)を通る導電経路を提供するために、ビアホール(9)を導電性材料で少なくとも部分的に充填するステップとを含む、方法。
  13. 請求項12に記載のビア(7)を形成する方法を含む、密封された空洞(30)を形成する方法であって、ビア(7)は、密封された空洞(30)と基板(3)の下側(5)との電気的接続を提供し、ビアは、空洞内に少なくとも部分的に位置する導電トレース(31)またはパッドまで開けられ、それにより、下側(5)から密封された空洞(30)まで電気的接続が形成される、方法。
  14. 電気デバイス用の基板(3)であって、基板(3)の下側(5)から基板(3)を少なくとも部分的に通って基板(3)の上側(4)に向かうビアホール(9)を含み、
    基板(3)は、基板(3)の上側(4)に形成された2つ以上の異なる材料の個々の層を含む多層構造(8)を含み、
    ビアホールは、多層構造(8)を通って延在し、
    ビアホール(9)は、ビアホール(9)を通る導電経路を提供するために、導電性材料で少なくとも部分的に充填され、それによりビア配線(7)を形成しており、
    前記基板は、
    ビアホールが、ビアホール(9)に開口部(24)を形成する狭窄部(23)を含むこと、
    ビアホール(9)が、上側(4)に向かって、かつ多層構造(8)内へと、開口部(24)から外側に広がること、および、
    ビアホールが、開口部(24)の幅に本質的に対応する幅を有して上方に開いていることを特徴とする、基板(3)。
  15. ビアホール(9)の断面は、上側(4)または下側(5)から見ると、好ましくは矩形である、請求項14に記載の基板(3)。
  16. ビアホールは、実質的に垂直の側壁(16)と下方傾斜壁(20)とを含む第1の縦方向部分(11)を含み、下方傾斜側壁(20)は狭窄部(23)の一部であり、実質的に垂直の側壁(16)は下側(5)から下方傾斜側壁(20)まで延在している、請求項15に記載の基板(3)。
JP2011532042A 2008-10-15 2009-10-15 ビア配線を作るための方法 Active JP5654471B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
SE0850036-5 2008-10-15
SE0850036 2008-10-15
PCT/SE2009/051175 WO2010044741A1 (en) 2008-10-15 2009-10-15 Method for making via interconnection

Publications (2)

Publication Number Publication Date
JP2012506144A true JP2012506144A (ja) 2012-03-08
JP5654471B2 JP5654471B2 (ja) 2015-01-14

Family

ID=42106724

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2011532042A Active JP5654471B2 (ja) 2008-10-15 2009-10-15 ビア配線を作るための方法

Country Status (7)

Country Link
US (1) US8742588B2 (ja)
EP (1) EP2338171B1 (ja)
JP (1) JP5654471B2 (ja)
KR (1) KR101655331B1 (ja)
CN (1) CN102246299B (ja)
HK (1) HK1163935A1 (ja)
WO (1) WO2010044741A1 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012146800A (ja) * 2011-01-12 2012-08-02 Canon Inc 半導体装置及び半導体装置の製造方法
US8823323B2 (en) 2009-04-16 2014-09-02 Valence Technology, Inc. Batteries, battery systems, battery submodules, battery operational methods, battery system operational methods, battery charging methods, and battery system charging methods
JPWO2013145287A1 (ja) * 2012-03-30 2015-08-03 株式会社日立製作所 Memsデバイスおよびその製造方法
JP2015159275A (ja) * 2014-01-27 2015-09-03 ソニー株式会社 撮像素子、製造装置、製造方法
JP2017120914A (ja) * 2015-12-29 2017-07-06 台湾積體電路製造股▲ふん▼有限公司Taiwan Semiconductor Manufacturing Company,Ltd. インターティア相互接続を有するスタック基板構造

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102576789B (zh) * 2009-09-20 2016-08-24 维亚甘有限公司 电子器件的晶片级封装
US8513041B2 (en) * 2009-11-30 2013-08-20 Pixart Imaging Corporation MEMS integrated chip and method for making same
EP2616771B8 (en) 2010-09-18 2018-12-19 Fairchild Semiconductor Corporation Micromachined monolithic 6-axis inertial sensor
KR101871865B1 (ko) 2010-09-18 2018-08-02 페어차일드 세미컨덕터 코포레이션 멀티-다이 mems 패키지
US9156673B2 (en) 2010-09-18 2015-10-13 Fairchild Semiconductor Corporation Packaging to reduce stress on microelectromechanical systems
US9352961B2 (en) 2010-09-18 2016-05-31 Fairchild Semiconductor Corporation Flexure bearing to reduce quadrature for resonating micromachined devices
KR101352827B1 (ko) 2010-09-18 2014-01-17 페어차일드 세미컨덕터 코포레이션 단일 프루프 매스를 가진 미세기계화 3축 가속도계
US8813564B2 (en) 2010-09-18 2014-08-26 Fairchild Semiconductor Corporation MEMS multi-axis gyroscope with central suspension and gimbal structure
KR101332701B1 (ko) 2010-09-20 2013-11-25 페어차일드 세미컨덕터 코포레이션 기준 커패시터를 포함하는 미소 전자기계 압력 센서
EP2528089B1 (en) 2011-05-23 2014-03-05 Alchimer Method for forming a vertical electrical connection in a layered semiconductor structure
US9488693B2 (en) 2012-04-04 2016-11-08 Fairchild Semiconductor Corporation Self test of MEMS accelerometer with ASICS integrated capacitors
EP2647952B1 (en) 2012-04-05 2017-11-15 Fairchild Semiconductor Corporation Mems device automatic-gain control loop for mechanical amplitude drive
EP2648334B1 (en) 2012-04-05 2020-06-10 Fairchild Semiconductor Corporation Mems device front-end charge amplifier
EP2647955B8 (en) 2012-04-05 2018-12-19 Fairchild Semiconductor Corporation MEMS device quadrature phase shift cancellation
US9625272B2 (en) 2012-04-12 2017-04-18 Fairchild Semiconductor Corporation MEMS quadrature cancellation and signal demodulation
DE102013014881B4 (de) * 2012-09-12 2023-05-04 Fairchild Semiconductor Corporation Verbesserte Silizium-Durchkontaktierung mit einer Füllung aus mehreren Materialien
US9899248B2 (en) * 2014-12-03 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor packages having through package vias
US10325853B2 (en) 2014-12-03 2019-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor packages having through package vias
KR102117471B1 (ko) * 2015-01-12 2020-06-01 삼성전기주식회사 음향 공진기 및 그 제조 방법
US10410883B2 (en) 2016-06-01 2019-09-10 Corning Incorporated Articles and methods of forming vias in substrates
US10134657B2 (en) 2016-06-29 2018-11-20 Corning Incorporated Inorganic wafer having through-holes attached to semiconductor wafer
US10794679B2 (en) 2016-06-29 2020-10-06 Corning Incorporated Method and system for measuring geometric parameters of through holes
US11078112B2 (en) 2017-05-25 2021-08-03 Corning Incorporated Silica-containing substrates with vias having an axially variable sidewall taper and methods for forming the same
US10580725B2 (en) 2017-05-25 2020-03-03 Corning Incorporated Articles having vias with geometry attributes and methods for fabricating the same
US10854550B2 (en) 2017-09-28 2020-12-01 Advanced Semiconductor Engineering, Inc. Semiconductor package and method of manufacturing the same
CN115274616A (zh) 2017-11-14 2022-11-01 台湾积体电路制造股份有限公司 通孔结构及其方法
US11554984B2 (en) 2018-02-22 2023-01-17 Corning Incorporated Alkali-free borosilicate glasses with low post-HF etch roughness
US11652036B2 (en) * 2018-04-02 2023-05-16 Santa Clara Via-trace structures
US11152294B2 (en) 2018-04-09 2021-10-19 Corning Incorporated Hermetic metallized via with improved reliability
US20200105646A1 (en) * 2018-10-01 2020-04-02 Nanya Technology Corporation Semiconductor structure having through silicon via structure and method for forming the same
KR20210127188A (ko) 2019-02-21 2021-10-21 코닝 인코포레이티드 구리-금속화된 쓰루 홀을 갖는 유리 또는 유리 세라믹 물품 및 이를 제조하기 위한 공정
KR102537005B1 (ko) 2019-03-12 2023-05-26 앱솔릭스 인코포레이티드 유리를 포함하는 기판의 적재 카세트 및 이를 적용한 기판의 적재방법
CN113261093B (zh) * 2019-03-12 2024-04-16 爱玻索立克公司 半导体封装用基板及其制备方法以及半导体装置
WO2020185021A1 (ko) 2019-03-12 2020-09-17 에스케이씨 주식회사 패키징 기판 및 이를 포함하는 반도체 장치
CN111960376A (zh) * 2020-07-21 2020-11-20 上海集成电路研发中心有限公司 一种mems支撑和电连接孔结构及制备方法
KR20220153175A (ko) 2021-05-10 2022-11-18 삼성전자주식회사 반도체 장치

Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03102839A (ja) * 1989-09-18 1991-04-30 Fujitsu Ltd 半導体装置
JPH0482222A (ja) * 1990-07-24 1992-03-16 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JP2003078127A (ja) * 2001-08-31 2003-03-14 Kyocera Corp 半導体装置およびその製造方法
JP2004128063A (ja) * 2002-09-30 2004-04-22 Toshiba Corp 半導体装置及びその製造方法
JP2004165602A (ja) * 2002-09-24 2004-06-10 Hamamatsu Photonics Kk 半導体装置及びその製造方法
JP2005235858A (ja) * 2004-02-17 2005-09-02 Sanyo Electric Co Ltd 半導体装置及びその製造方法
JP2005353997A (ja) * 2004-06-14 2005-12-22 Ricoh Co Ltd 半導体装置及びその製造方法
JP2007005401A (ja) * 2005-06-21 2007-01-11 Matsushita Electric Works Ltd 半導体装置およびその製造方法
JP2007242693A (ja) * 2006-03-06 2007-09-20 Canon Inc 半導体装置およびその製造方法
JP2007294821A (ja) * 2006-04-27 2007-11-08 Fujikura Ltd 配線基板およびその製造方法
JP2008091628A (ja) * 2006-10-02 2008-04-17 Seiko Epson Corp 半導体装置、半導体装置の製造方法、回路基板および電子機器

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4118523A (en) * 1975-10-22 1978-10-03 International Computers Limited Production of semiconductor devices
US5166097A (en) * 1990-11-26 1992-11-24 The Boeing Company Silicon wafers containing conductive feedthroughs
JPH06310547A (ja) 1993-02-25 1994-11-04 Mitsubishi Electric Corp 半導体装置及びその製造方法
JP3416468B2 (ja) * 1997-06-20 2003-06-16 キヤノン株式会社 Si異方性エッチング方法、インクジェットヘッド、及びその製造方法
JP3736607B2 (ja) * 2000-01-21 2006-01-18 セイコーエプソン株式会社 半導体装置及びその製造方法、回路基板並びに電子機器
JP3998984B2 (ja) * 2002-01-18 2007-10-31 富士通株式会社 回路基板及びその製造方法
JP2003318178A (ja) 2002-04-24 2003-11-07 Seiko Epson Corp 半導体装置及びその製造方法、回路基板並びに電子機器
EP1514299A1 (en) * 2002-06-07 2005-03-16 Oticon A/S Feed-through process and amplifier with feed-through
KR100541087B1 (ko) * 2003-10-01 2006-01-10 삼성전기주식회사 마이크로 디바이스를 위한 웨이퍼 레벨 패키지 및 제조방법
CA2552908C (en) * 2004-08-06 2010-07-20 A. L. M. T. Corp. Collective substrate, semiconductor element mount, semiconductor device, imaging device, light emitting diode component and light emitting diode
US7598167B2 (en) 2004-08-24 2009-10-06 Micron Technology, Inc. Method of forming vias in semiconductor substrates without damaging active regions thereof and resulting structures
US7485967B2 (en) * 2005-03-10 2009-02-03 Sanyo Electric Co., Ltd. Semiconductor device with via hole for electric connection
US7485968B2 (en) 2005-08-11 2009-02-03 Ziptronix, Inc. 3D IC method and device
JP2007067216A (ja) * 2005-08-31 2007-03-15 Sanyo Electric Co Ltd 半導体装置およびその製造方法、回路基板およびその製造方法
US7262134B2 (en) * 2005-09-01 2007-08-28 Micron Technology, Inc. Microfeature workpieces and methods for forming interconnects in microfeature workpieces
EP2165362B1 (en) 2007-07-05 2012-02-08 ÅAC Microtec AB Low resistance through-wafer via
JP2009277770A (ja) * 2008-05-13 2009-11-26 Toshiba Corp 不揮発性半導体記憶装置及びその製造方法

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03102839A (ja) * 1989-09-18 1991-04-30 Fujitsu Ltd 半導体装置
JPH0482222A (ja) * 1990-07-24 1992-03-16 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JP2003078127A (ja) * 2001-08-31 2003-03-14 Kyocera Corp 半導体装置およびその製造方法
JP2004165602A (ja) * 2002-09-24 2004-06-10 Hamamatsu Photonics Kk 半導体装置及びその製造方法
JP2004128063A (ja) * 2002-09-30 2004-04-22 Toshiba Corp 半導体装置及びその製造方法
JP2005235858A (ja) * 2004-02-17 2005-09-02 Sanyo Electric Co Ltd 半導体装置及びその製造方法
JP2005353997A (ja) * 2004-06-14 2005-12-22 Ricoh Co Ltd 半導体装置及びその製造方法
JP2007005401A (ja) * 2005-06-21 2007-01-11 Matsushita Electric Works Ltd 半導体装置およびその製造方法
JP2007242693A (ja) * 2006-03-06 2007-09-20 Canon Inc 半導体装置およびその製造方法
JP2007294821A (ja) * 2006-04-27 2007-11-08 Fujikura Ltd 配線基板およびその製造方法
JP2008091628A (ja) * 2006-10-02 2008-04-17 Seiko Epson Corp 半導体装置、半導体装置の製造方法、回路基板および電子機器

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8823323B2 (en) 2009-04-16 2014-09-02 Valence Technology, Inc. Batteries, battery systems, battery submodules, battery operational methods, battery system operational methods, battery charging methods, and battery system charging methods
US8884585B2 (en) 2009-04-16 2014-11-11 Valence Technology, Inc. Batteries, battery systems, battery submodules, battery operational methods, battery system operational methods, battery charging methods, and battery system charging methods
US9537326B2 (en) 2009-04-16 2017-01-03 Valence Technology, Inc. Batteries, battery systems, battery submodules, battery operational methods, battery system operational methods, battery charging methods, and battery system charging methods
US10230246B2 (en) 2009-04-16 2019-03-12 Lithium Werks Technology Bv Batteries, battery systems, battery submodules, battery operational methods, battery system operational methods, battery charging methods, and battery system charging methods
US11289918B2 (en) 2009-04-16 2022-03-29 Lithion Battery Inc. Batteries, battery systems, battery submodules, battery operational methods, battery system operational methods, battery charging methods, and battery system charging methods
JP2012146800A (ja) * 2011-01-12 2012-08-02 Canon Inc 半導体装置及び半導体装置の製造方法
JPWO2013145287A1 (ja) * 2012-03-30 2015-08-03 株式会社日立製作所 Memsデバイスおよびその製造方法
JP2015159275A (ja) * 2014-01-27 2015-09-03 ソニー株式会社 撮像素子、製造装置、製造方法
US9991301B2 (en) 2014-01-27 2018-06-05 Sony Corporation Image sensor having improved dicing properties, manufacturing apparatus, and manufacturing method of the same
US10038021B2 (en) 2014-01-27 2018-07-31 Sony Corporation Packaged image sensor having improved dicing properties
US11594563B2 (en) 2014-01-27 2023-02-28 Sony Corporation Image sensor having improved dicing properties
JP2017120914A (ja) * 2015-12-29 2017-07-06 台湾積體電路製造股▲ふん▼有限公司Taiwan Semiconductor Manufacturing Company,Ltd. インターティア相互接続を有するスタック基板構造

Also Published As

Publication number Publication date
EP2338171A4 (en) 2012-05-02
CN102246299A (zh) 2011-11-16
EP2338171B1 (en) 2015-09-23
US8742588B2 (en) 2014-06-03
CN102246299B (zh) 2014-12-10
EP2338171A1 (en) 2011-06-29
KR20110069877A (ko) 2011-06-23
WO2010044741A1 (en) 2010-04-22
JP5654471B2 (ja) 2015-01-14
KR101655331B1 (ko) 2016-09-07
US20110201197A1 (en) 2011-08-18
HK1163935A1 (en) 2012-09-14

Similar Documents

Publication Publication Date Title
JP5654471B2 (ja) ビア配線を作るための方法
CN101785103B (zh) 低阻抗晶圆穿孔
US8476116B2 (en) Reduction of etch microloading for through silicon vias
JP5313903B2 (ja) 誘電体薄膜を用いたウエハ貫通電気相互接続及びその他構造の形成
JP4919087B2 (ja) ビアに相互接続を形成する方法及び該相互接続を含むマイクロエレクトロニック・ワークピース
US8735287B2 (en) Semiconductor packaging process using through silicon vias
US5637539A (en) Vacuum microelectronic devices with multiple planar electrodes
US9312217B2 (en) Methods for making a starting substrate wafer for semiconductor engineering having wafer through connections
CN102931154B (zh) 半导体装置
TW200951062A (en) System and method of encapsulation
JP2009259876A (ja) 半導体装置および半導体装置の製造方法
JP5460069B2 (ja) 半導体基板と半導体パッケージおよび半導体基板の製造方法
TW202320110A (zh) 影響帶電粒子射束的靜電裝置
US9324613B2 (en) Method for forming through substrate vias with tethers
US9620373B1 (en) Methods for fabricating semiconductor or micromachined devices with metal structures and methods for forming self-aligned deep cavity metal structures
US20230058681A1 (en) Printed devices in cavities
Henry et al. High aspect ratio vias first for advanced packaging
CN117276187A (zh) 半导体基板中硅通孔的制作方法
CN117276186A (zh) 半导体基板中硅通孔的制作方法
JPH04296030A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20121012

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140116

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20140121

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140418

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20141028

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20141120

R150 Certificate of patent or registration of utility model

Ref document number: 5654471

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250