JP2011512674A - ミリ秒アニーリング(dsa)の縁部保護 - Google Patents

ミリ秒アニーリング(dsa)の縁部保護 Download PDF

Info

Publication number
JP2011512674A
JP2011512674A JP2010546828A JP2010546828A JP2011512674A JP 2011512674 A JP2011512674 A JP 2011512674A JP 2010546828 A JP2010546828 A JP 2010546828A JP 2010546828 A JP2010546828 A JP 2010546828A JP 2011512674 A JP2011512674 A JP 2011512674A
Authority
JP
Japan
Prior art keywords
substrate
energy
substrate support
breaker
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2010546828A
Other languages
English (en)
Other versions
JP5451643B2 (ja
JP2011512674A5 (ja
Inventor
ブレーク コールメル,
ロバート シー. マッキントッシュ,
ダーヴィッド デー. エル. ラルマニャク,
アレクサンダー エヌ. ラーナー,
アブヒラッシュ ジェイ. マユール,
ジョゼフ ユドフスキー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2011512674A publication Critical patent/JP2011512674A/ja
Publication of JP2011512674A5 publication Critical patent/JP2011512674A5/ja
Application granted granted Critical
Publication of JP5451643B2 publication Critical patent/JP5451643B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Recrystallisation Techniques (AREA)

Abstract

基板を熱処理する方法および装置が提供される。基板の表面の方へ電磁エネルギーを誘導することによって熱処理するように構成された処理チャンバ内に、基板が配置される。基板の方へ誘導されるエネルギーの少なくとも一部分を遮断するエネルギー遮断器が提供される。遮断器は、入射エネルギーが基板の縁部に接近するとき、熱応力から基板の損傷を防止する。

Description

本発明の実施形態は一般に、半導体デバイスを製造する装置および方法に関する。より詳細には、本発明は、基板を熱処理する装置および方法を対象とする。
集積回路(IC)市場は、より大きなメモリ容量、より速いスイッチング速度、およびより小さなフィーチャ寸法を絶えず求めている。これらの要求に対処するために当業界がとってきた主要なステップの1つは、大きな炉内でシリコン基板をバッチ処理することから、小さなチャンバ内で単一の基板を処理することへの変更である。
単一の基板処理中、基板は通常、基板の部分内に画定された複数のICデバイス内で様々な化学的および物理的反応を発生させるように、高温に加熱される。特に重要なのは、ICデバイスの好ましい電気的性能には、注入された領域をアニールする必要があることである。アニーリングでは、基板のうちの事前に非晶質にした領域から結晶構造を再現し、これらの原子を基板の結晶格子内に組み込むことによってドーパントを活性化する。アニーリングなどの熱処理には、比較的大量の熱エネルギーを短時間で基板に提供し、次いで基板を急速に冷却して熱処理を終了させる必要がある。現在使用されている熱処理の例には、急速熱処理(RTP)およびインパルス(スパイク)アニーリングが含まれる。従来のRTP処理では、ICデバイスがシリコン基板の上部数ミクロンにしか存在しないにもかかわらず、基板全体を加熱する。これは、基板をどれだけ速く加熱および冷却できるかを制限する。さらに、基板全体が高温になると、熱は周囲空間または構造内に放散することしかできない。その結果、現在の現況技術のRTPシステムでは、400℃/秒の上昇率および150℃/秒の下降率を実現するのに苦闘している。RTPおよびスパイクアニーリング処理は広く使用されているが、現在の技術は、熱処理中に基板温度を変化させるのが遅すぎ、基板を高温に長時間露出させるので理想的ではない。これらの熱量の問題は、基板寸法の増大、スイッチング速度の増大、および/またはフィーチャ寸法の低減とともにより厳しくなる。
従来のRTP処理で提起される問題のいくつかを解決するために、基板の表面をアニールするための様々な走査型レーザアニール技法が使用されてきた。一般に、これらの技法は、基板の表面上の小さな領域に一定のエネルギー束を送達しながら、その小さな領域に送達されるエネルギーに対して基板を平行移動または走査する。均一性要件が厳密であり、また基板表面にわたって走査される領域の重複を最小化するのは複雑であるため、これらのタイプの処理は、基板の表面上に形成されたコンタクトレベルのデバイスを熱処理するには効果的でない。
基板の表面上の有限の領域をアニールして、基板の表面上に明確なアニールおよび/または再溶融された領域を提供するために、動的表面アニーリング(DSA)技法が開発された。通常、そのようなレーザアニール処理中、基板の表面上の様々な領域を、レーザから送達される所望の量のエネルギーに順次露出させて、基板の所望の領域を優先的に加熱する。これらの技法は、隣接する走査された領域間の重複がダイまたは「カーフ(kurf)」線間の未使用の空間に厳しく制限され、その結果、基板の所望の領域にわたってアニーリングがより均一になるので、基板の表面にわたってレーザエネルギーを掃引する従来の処理より好ましい。
DSA技法の1つの欠点は、基板の表面の一部分をアニーリングすると、最高500℃の温度差のため、アニーリング中にアニールされた部分とアニールされていない部分の間の境界領域に高い熱応力がかかることである。大部分の場合、基板のアニールされた領域からアニールされていない領域へ熱が伝わるにつれて、これらの熱応力は緩和される。しかし、アニーリング処理が基板の縁部の方へ動くと、縁部へ近づくことによって、熱を吸収する基板区域の利用可能性が低減され、熱応力が基板の物理的変形または破損をもたらす。図1は、基板100のうち、その縁部104付近の一部分102をアニールしようとするアニーリング処理を示す。源108から放射される電磁エネルギー106は部分102を加熱するが、縁部部分110は加熱されないままである。縁部部分110の熱吸収容量が比較的小さいため、アニールされた部分102と縁部部分110の間の境界領域では、高い熱応力が生じる。この高い熱応力は、基板100の縁部104付近の縁部部分110の変形または破損によって緩和されることが多い。したがって、基板を損傷することなく基板のすべての所望の領域をアニールすることが可能な熱処理装置および方法が必要とされている。
本発明の実施形態は、処理チャンバ内で基板を処理する装置であって、処理するために基板を位置決めするように構成された基板支持部と、基板支持部の方へ電磁エネルギーを誘導するように構成されたエネルギー源と、電磁エネルギーの少なくとも一部分を遮断するように構成された1つまたは複数のエネルギー遮断器とを含む装置を提供する。
本発明の他の実施形態は、処理チャンバ内で基板を処理する方法であって、基板支持部を使用して処理チャンバ内に基板を位置決めするステップと、基板の少なくとも一部分の方へ電磁エネルギーを誘導するステップと、電磁エネルギーの少なくとも一部分が基板に当たるのを遮断するステップとを含む方法を提供する。
本発明の上記の特徴を詳細に理解できるように、上記で簡単に要約した本発明についてのより具体的に説明を、実施形態を参照すれば得ることができる。実施形態のいくつかは、添付の図面に示す。しかし、本発明は他の等しく効果的な実施形態も許容しうるので、添付の図面は本発明の典型的な実施形態のみを示し、したがってその範囲を限定するものと見なすべきではないことに留意されたい。
基板の熱処置を実行する熱処理装置の従来技術の図である。 本発明の一実施形態による装置の断面図である。 図2の装置の一部分の詳細図である。 本発明の一実施形態による装置の上面図である。 図3の装置の一部分の詳細図である。 図3の装置の別の部分の詳細図である。 本発明の一実施形態による装置の断面図である。 本発明の一実施形態による装置の別の断面図である。 本発明の別の実施形態による装置の斜視図である。 本発明の別の実施形態による装置の断面図である。
本発明の実施形態は、基板の熱処理のための装置および方法を提供する。基板の表面の少なくとも一部分の方へ電磁エネルギーを誘導することを伴う熱処理を実行するように構成されたプロセスチャンバでは、電磁エネルギーの少なくとも一部分が基板に到達するのを遮断するデバイスが実施される。このデバイスは、いくつかの手段のうちのいずれかによって基板の挿入および抜出しを可能にするように構成され、また、基板の処理中に存在する条件に耐えるように作製される。
図2は、本発明の一実施形態による熱処理チャンバ200の断面図である。チャンバ200は、協働して処理チャンバを画定する壁202、床204、および上部部分206を特徴として備える。処理チャンバは、チャンバ内に基板を位置決めする基板支持部208を収容する。基板支持部208は、基板支持部の内外へ様々な処理媒体を搬送する導管部分210を含む。導管部分210は、床204を貫通する。導管部分210は、開口214を通って基板支持部208の表面へ処理媒体を搬送する通路212を含むことができる。導管部分210はまた、基板支持部208内部のチャネルへ熱制御媒体を搬送する通路216を含むことができ、これにより基板支持部208を加熱または冷却することが可能になる。例示の目的で、基板支持部208上に配置された基板250を示す。
基板は、入口218を通ってチャンバ200へ導入することができ、入口218は、望みに応じてドア(図示せず)によって封止することができる。プロセスガスは、入口220を通ってプロセスチャンバへ導入することができ、また入口222を通って、または任意の他の適切な導管を通って排気することができる。いくつかの実施形態では、たとえば、基板支持部208内の導管を通ってプロセスガスを排気することができると有利である。他の実施形態では、基板支持部208内の導管(図示せず)を通って、基板支持部208上に配置された基板の裏面にガスを提供することができる。そのようなガスは、高真空中で処理中に基板を熱制御するのに有用となりうる。熱制御ガスは通常、プロセスガスとは異なる。
チャンバ200は通常、チャンバ200内に配置された基板の方へ電磁エネルギーを誘導する源(図示せず)と並置される。電磁エネルギーは、上部部分206内の窓224を通って処理チャンバへ入れられる。上部部分206は、処理条件に耐えながら電磁エネルギーを透過する石英または別の適切な材料とすることができる。チャンバ200はまた、源から基板支持部208の方へ進む電磁エネルギーの少なくとも一部分を遮断するように構成されたエネルギー遮断器226を含む。
チャンバ200はまた、装置内部のエネルギー遮断器および基板を操作するリフトピンアセンブリ228を含む。一実施形態では、リフトピンアセンブリ228は、基板250を操作する複数のリフトピン230と、エネルギー遮断器226を操作する複数のリフトピン232とを含む。リフトピンは、複数の通路234を通ってチャンバ200に入ることができる。
図2Aは、チャンバ200の部分の詳細図である。窓224、エネルギー遮断器226、および入口220を見ることができ、リフトピンアセンブリ228をより詳細に見ることができる。リフトピン230および232は、案内管236によって案内され、案内管236は、リフトピン230および232の正しい整合を確保する。一実施形態では、リフトピン230および232はシャトル246によって密閉され、シャトル246は、案内管236の内部に接触して、リフトピン230および232と案内管236の整合を維持する。シャトル246は、任意の剛性材料とすることができるが、案内管表面上に当たる低摩擦の表面を有することが好ましい。一実施形態では、シャトル246は、案内管236に接触するプラスチックブッシング(図示せず)を有するフェライトステンレス鋼とすることができる。いくつかの実施形態では、リフトピン230および232は、アクチュエータカラー238によって操作することができる。図2Aに示すように、アクチュエータカラー238は、シャトル246によってリフトピン230および232に磁気的に結合される。アクチュエータカラー238は、案内管236に対して長手方向に進むように構成され、必要に応じてリフトピン230および232を延ばしたり後退させたりする。アクチュエータアームは、案内管236に沿ってアクチュエータカラー238を動かして、リフトピンを延ばしたり後退させたりする。この実施形態では、単一のアクチュエータアーム240が、リフトピン230および232の両方の組を動作させるが、望みに応じて、複数のアクチュエータアームを使用することができる。チャンバ200内へのリフトピン232の延長は、止め具242によって制限される。図2Aに示すように、リフトピン230が止め具242によって抑えられた後、アクチュエータアーム240を引き続きチャンバ200の方へ動かすために、案内管ばね244を提供することができる。このようにして、リフトピン230は、リフトピン232が止まった後、引き続き動くことができ、単一のアクチュエータアーム240が両方を動かす。この実施形態では、リフトピン230が基板250を基板支持部208から持ち上げる前にリフトピン232がエネルギー遮断器226を持ち上げることができるように、リフトピン232はリフトピン230より長い。
エネルギー遮断器226は、窓224を通って基板250の方へ誘導される電磁エネルギーの一部分を遮断するように構成される。以下でより詳細に分かるように、エネルギー遮断器226は、一部分が基板支持部208上に位置し、別の部分が基板支持部208の一部分より上へ延びるように構成することができる。いくつかの実施形態では、エネルギー遮断器226は、基板支持部208上に配置された基板の縁部を覆って影を落とす。したがって、エネルギー遮断器226をシャドーリングまたは縁部リングと呼ぶことができる。リフトピンは、凹部と嵌合することによってエネルギー遮断器226を操作することができる。
動作の際には、リフトピン232はプロセスチャンバ内へ延び、エネルギー遮断器226に接触することなく基板支持部208上に配置された基板250の操作を可能にするのに十分な距離だけ、エネルギー遮断器226を基板支持部208より上へ持ち上げる。リフトピン230はプロセスチャンバ内に延びて、基板250を基板支持部208より上へ持ち上げ、基板取扱い機構(図示せず)が入口218(図2)を通ってプロセスチャンバに入り、基板に届くようにする。アクチュエータ240が両方のリフトピンを上方へ動かすと、アクチュエータカラー238Aは止め具242に当たる。アクチュエータアーム240は引き続き動き、アクチュエータカラー238Aに対して案内管ばね244を圧縮させ、一方アクチュエータカラー238Bは、引き続きリフトピン230を上方へ動かす。基板取扱い機構がプロセスチャンバ内へ延びると、アクチュエータアーム240は、案内管ばね244が完全に延ばされるまでリフトピン230を後退させ、次いで基板250およびエネルギー遮断器226が基板支持部208上に位置するまで両方のリフトピン230および232を後退させる。この実施形態では、単一のアクチュエータ240を用いて、リフトピン230および232を一緒に延ばしたり後退させたりする。複数のアクチュエータを用いる実施形態では、基板支持部208上に基板が配置されていないとき、リフトピン232を延ばしたままにすることができる。取扱い機構によって処理チャンバに基板が提供されると、リフトピン230を延ばして基板を取扱い機構より上に持ち上げ、入口218(図2)を通って取扱い機構を処理チャンバから後退させることができる。次いで、リフトピン230を後退させて、基板を基板支持部208上に配置することができる。次いで、リフトピン232を後退させて、処理位置内にエネルギー遮断器226を配置することができる。
チャンバから基板を抜き出すには、リフトピン230および232が逆に動作する。単一のアクチュエータの実施形態では、両方のリフトピンがプロセスチャンバ内に延びる。まずリフトピン232がエネルギー遮断器226に係合し、基板支持部208より上に押し上げる。少し後にリフトピン230が基板250に係合し、どちらもリフトピン230および232の動作によって基板支持部208より上に上昇する。アクチュエータカラー238Aが止め具242に到達すると、リフトピン232は上昇を停止し、またアクチュエータアーム240は引き続き上方へ動くので、案内管ばね244が圧縮する。アクチュエータアーム240が引き続き上方へ動くので、リフトピン230は引き続き動き、一方リフトピン232は静止したままである。したがって、リフトピン232によって支持される基板250は、エネルギー遮断器226に接近する。カラー238Bが案内管236の上端に到達すると、アクチュエータアーム240およびリフトピン230は動きを停止する。次いで、基板取扱い装置が、プロセスチャンバ内に延びることができる。次いで、アクチュエータアームは下降し、チャンバから引き出すために基板250を基板取扱い装置上へ下ろすことができる。複数のアクチュエータの実施形態では、基板250を基板支持部208から基板取扱い装置へ操作する間、また望みに応じて基板支持部208上へ新しい基板を操作する間、リフトピン232を完全に延ばしたままにすることができる。
図3は、本発明の一実施形態による装置の上面図である。図3は、上述のエネルギー遮断器300の一実施形態を示す。いくつかの実施形態では、エネルギー遮断器300は放射遮断器である。この実施形態では、エネルギー遮断器300は、基板支持部208の方へ誘導される一部のエネルギーを遮断するように構成されたリングである。このリングは、環状で、単一の物品として形成される。いくつかの実施形態では、エネルギー遮断器300を不透明とすることができ、一方他の実施形態では、エネルギー遮断器300は、基板をアニールするために使用される電磁エネルギーの一部の周波数を部分的に透過しながら他の周波数を遮断することができる。この実施形態では、基板支持部208は、基板支持部208上に配置された基板を操作するように基板支持部208の下からリフトピン230(図2および2A)を実施できる開口302を特徴として備える。この実施形態では、エネルギー遮断器300は、リフトピン232(図2および2A)と嵌合するタブ304を特徴として備える。これらのリフトピンは、エネルギー遮断器300を動かして、プロセスチャンバ内部の基板の平行移動を可能にする。エネルギー遮断器300はまた、エネルギー遮断器300と基板支持部208を整合させる整合点306を特徴として備える。
図3Aは、図3の装置の一部分の詳細図である。エネルギー遮断器300の一部を示し、リフトピンタブ304および整合点306を見ることができる。また、基板支持部208および基板支持部208内の開口302を見ることができ、延ばした位置にあるリフトピン230を示す。また、延ばした位置でタブ304と嵌合するリフトピン232を示す。この実施形態では、リフトピン232は、凹部310によってタブ304と嵌合する。この実施形態では、リフトピンおよび凹部は円形の断面形状を有するが、他の実施形態では、正方形、方形、三角形、楕円形などの任意の形状を有することができる。さらに、図3の実施形態は3つのリフトピンに対して3つのタブを特徴として備えるが、エネルギー遮断器を十分に操作できる限り、任意の好都合な数のリフトピンを使用することができる。この実施形態では、整合点306は、エネルギー遮断器300から下方へ突出してノッチ312と嵌合する先細り状ピンである。エネルギー遮断器300の上部から見ると、整合点306は、エネルギー遮断器300の上面内の凹部として見える。エネルギー遮断器300と基板支持部208の整合を確保するように設計された任意の構成および数の整合点306を使用することができる。たとえば、エネルギー遮断器300内に形成された凹部内に入るように上方に向いた整合ピンを、基板支持部208上に配置することができる。エネルギー遮断器300と基板支持部208の整合により、基板支持部208上に配置された基板の所望の部分が電磁放射から確実に遮蔽される。
図3Aに示す実施形態では、ノッチ312は、リフトピン232が基板支持部208を越えて自由に進み、タブ304内の凹部310と係合できるように、くぼみ314と整合される。図3Bは、くぼみ314から整合点306をなくした代替実施形態を示す。図3Aおよび3Bに示すどちらの実施形態でも、エネルギー遮断器300は、丸みまたは傾斜をつけた縁部316を有する。整合点306もまた、エネルギー遮断器300の上面に丸みまたは傾斜をつけた縁部318を有する。これらの2つの実施形態では、整合点306の縁部318が、エネルギー遮断器300の丸みまたは傾斜をつけた縁部316の内端に実質上一点で接することを示す。しかし、代替実施形態は、任意の好都合な点に位置する整合特徴を含むことができる。2つの図示の実施形態では、整合点306は、エネルギー遮断器300の内縁部と外縁部の間のほぼ中間に位置することができ、または内縁部に実質上一点で接することができる。
図4Aは、本発明の一実施形態による装置の断面図である。この実施形態では、エネルギー遮断器300が基板支持部208に対して隔置された構成であることを示す。上述のように、タブ304内の凹部310と嵌合するリフトピン232を見ることができる。この実施形態では、ノッチ312と嵌合するためにエネルギー遮断器300から下方へ突出する切頭円錐形(円錐台型)ピン406として整合点306を示し、エネルギー遮断器300の上面に対応する凹部はない。動作の際には、この実施形態のエネルギー遮断器は、処理中に基板208上に位置するように構成される。エネルギー遮断器300は、エネルギー遮断器300が基板支持部208上に位置するとき、基板支持部208から隔置されたままになるように設計された切欠部分408を特徴として備える。切欠部分408は、処理中に延長部410が、基板支持部208上に配置された基板の一部分を覆って延びるように寸法設定される。したがって、延長部410は、基板支持部208上に位置する基板の一部分を覆って影をもたらし、電磁エネルギーが基板の縁部にあまりに近接して当たるのを防止する。このようにして、延長部410を有するエネルギー遮断器300は、処理中の極端な熱応力による変形または損傷から、基板支持部208上に配置された基板の縁部を保護する。したがって、エネルギー遮断器300は、シャドーリングまたは縁部リングと呼ばれることがある。図4Bは、図3Bの代替実施形態を示す。この実施形態では、ノッチ312はくぼみ314と整合しない。
図4Aの実施形態では、エネルギー遮断器300は、その最も厚い点で、厚さ最高約5ミリメートル(mm)とすることができる。切欠部分408では、厚さを最高約80%低減させることができ、その結果、延長部410の厚さは約3mmより小さくなる。延長部410は、基板上で、基板の縁部から最高約3mmの影をもたらすことができる。延長部分410と基板支持部208上に位置する基板との間の隙間は、処理中に約2mmより小さくすることができる。エネルギー遮断器300は、処理条件に耐えることが可能な任意の材料から作製することができるが、好ましくはアルミナ(酸化アルミニウム、Al、上式でy/xの比は約1.3から約1.7である)、窒化アルミニウム(AlN)、石英(二酸化シリコン、SiO)、または炭化シリコン(SiC)から、最も好ましくはアルミナから作製される。これらの材料を使用して、不透明であるエネルギー遮断器、またはそこに入射する一部もしくはすべての電磁エネルギーを透過するエネルギー遮断器を作製することができる。
図5は、本発明の代替実施形態を示す。処理チャンバの下方部分500を見ることができる。基板支持部表面504より上に配置されたエネルギー遮断器502を示す。基板支持部表面504は、支持部表面504上に配置された基板の部分へ処理媒体を送達する孔516を特徴として備える。エネルギー遮断器502は、エネルギー遮断器502の外縁部から延びる複数のタブ506を特徴として備える。この実施形態では、エネルギー遮断器502は、支持部表面504上に配置された基板の少なくとも一部分に電磁エネルギーが到達するのを遮断するように構成されたリングである。このリングは、環状で、単一の物品として形成される。エネルギー遮断器502は、シャドーリングまたは縁部リングとすることができる。エネルギー遮断器502はまた、チャンバ下方部分500上に配置されたピン510と嵌合するようにエネルギー遮断器502内の孔として構成された複数の整合点508を特徴として備える。この実施形態では、エネルギー遮断器502は、複数のタブ506の下に延びるリフトアーム512によって操作される。リフトアーム512は、リフトアーム512を垂直方向に動かすリフトピン514によって作動され、それによってリフトアーム512はタブ506に接触してエネルギー遮断器502を持ち上げることができる。この実施形態では、エネルギー遮断器502は、所望のエネルギーを遮断して処理条件に耐えることが可能な任意の材料を含むことができる。いくつかの好ましい材料については、上記で論じている。エネルギー遮断器502は、不透明とすることができ、またはエネルギー遮断器502に入射する一部もしくはすべての電磁エネルギーを透過することができる。
図には示さないが、本発明の他の実施形態が企図される。上述のような環状のエネルギー遮断器は、処理サイクル中に好都合な回数だけ結合および分離できる2つ以上の着脱可能部分から形成することができる。たとえば、2つ以上のリング部分を結合して、プロセスチャンバに対する放射遮断器を形成することができる。処理中、これらのリング部分は、電磁エネルギーが支持部上に配置された基板の少なくとも一部分に到達するのを遮断するように、基板支持部上に位置することができる。基板が挿入され、またはプロセスチャンバから引き出されたとき、リング部分は垂直または横方向に後退して、基板に届くことができるようにする。たとえば、3つのリング部分をそれぞれ、各リング部分を横方向に所定の距離だけ動かすように設計されたリトラクタに結合して、基板を基板支持部より上に持ち上げるための隙間を空けることができる。
図6は、本発明の別の実施形態を示す。エネルギー遮断器602とともに、基板支持部600を見ることができる。この実施形態では、基板支持部600と接触していないときにエネルギー遮断器602を抑制する支持リング604が提供される。2つが接触しているとき、エネルギー遮断器602は基板支持部600上に位置する。エネルギー遮断器602内の凹部608と嵌合するように構成された基板支持部600上のピン606によって、整合が実現される。この実施形態では、ピン606は、基板支持部600から突出するフラストロコニカルな延長部として示し、類似の形状を有する凹部608内に挿入するように構成される。しかし、代替実施形態では、ピン606および凹部608は、円形、正方形、三角形などの任意の好都合な形状を有することができる。
動作の際には、図6の装置は、処理中にエネルギー遮断器602を基板支持部600上に受動的に配置するように機能する。この実施形態では、基板支持部600は通常、動かすことができ、プロセスチャンバ内部で上下して、基板の挿入および引出しを容易にする。基板が基板支持部600上に配置されると、処理位置内に上がる。基板支持部600が上がるにつれて、ピン606は凹部608に接触して嵌合し、エネルギー遮断器602を支持リング604から持ち上げる。エネルギー遮断器602の延長部610は、切欠部分612によって、支持部600上に配置された基板の一部分より上に延び、基板の方へ誘導される電磁エネルギーの一部分を遮断する。いくつかの実施形態では、エネルギー遮断器602は、シャドーリングまたは縁部リングとすることができる。処理後、基板支持部600は、基板移動位置内へ下りる。エネルギー遮断器602は、支持リング604上に位置し、支持部600から外れて、基板を引き出すための空間をもたらす。
本明細書に記載のエネルギー遮断器はまた、プロセスチャンバ内部の望ましくない放射から測定デバイスを遮蔽する方法として有用となりうる。デバイスは一般に、処理中に様々なパラメータを測定するように、プロセスチャンバ内部で実施される。多くの場合、これらのデバイスは、電磁放射の影響を受けやすく、またエネルギー源から直接入射するエネルギーから誤りまたは損傷を受ける可能性がある。本明細書に記載のエネルギー遮断器を使用すれば、源からのエネルギーが測定デバイスに直接当たるのを防止することができる。たとえば、いくつかの実施形態では、基板によって放射される電磁エネルギーを感知することによって基板の温度を測定するための高温計などの温度測定デバイスを、処理チャンバ内部に配置することができる。そのような計器は、源からのエネルギーが直接当たった場合、正確でなくなるはずである。本明細書に記載のような放射遮断器は、普通ならデバイス上に直接当たるはずの電磁エネルギーの少なくとも一部分を遮断することができる。
前記は、本発明の実施形態を対象とするが、本発明の基本的な範囲から逸脱することなく、本発明の他のさらなる実施形態を考え出すことができ、本発明の範囲は、後に続く特許請求の範囲によって決定される。

Claims (15)

  1. 処理チャンバ内で基板を処理する装置であって、
    処理するために基板を位置決めするように構成された基板支持部と、
    前記基板支持部の方へ電磁エネルギーを誘導するように構成されたエネルギー源と、
    前記基板の中心部分を前記電磁エネルギーに露出させながら、前記電磁エネルギーの少なくとも一部分が前記基板の周辺部分に到達するのを遮断するように構成された1つまたは複数のエネルギー遮断器とを含む、装置。
  2. 前記エネルギー遮断器の少なくとも1つがシャドーリングである、請求項1に記載の装置。
  3. 前記シャドーリングが、持上げ機構に係合する1つまたは複数のタブを含む、請求項2に記載の装置。
  4. 前記タブの少なくとも1つが、持上げ機構に係合する1つまたは複数の凹部を有する、請求項3に記載の装置。
  5. 前記シャドーリングが、前記基板支持部上のピンと嵌合する1つまたは複数の凹部を含む、請求項2に記載の装置。
  6. 前記シャドーリングの一部分が、前記基板支持部より上へ延び、前記基板支持部から隔置される、請求項2に記載の装置。
  7. 前記シャドーリングが、前記基板支持部上の1つまたは複数の凹部と嵌合する1つまたは複数のピンを含む、請求項2に記載の装置。
  8. 前記基板支持部が縁部リングである、請求項2に記載の装置。
  9. 前記持上げ機構が、前記タブと係合するように構成された1つまたは複数のリフトピンを含む、請求項3に記載の装置。
  10. 前記エネルギー遮断器の少なくとも1つが、前記基板支持部上に位置する、請求項1に記載の装置。
  11. 処理チャンバ内で基板を処理する方法であって、
    基板支持部を使用して前記処理チャンバ内に前記基板を位置決めするステップと、
    前記基板の少なくとも一部分の方へ電磁エネルギーを誘導するステップと、
    前記基板の中心を前記電磁エネルギーに露出させながら、前記電磁エネルギーの少なくとも一部分が前記基板の縁部に当たるのを遮断するステップとを含む、方法。
  12. 前記電磁エネルギーの少なくとも一部分を遮断するステップが、1つまたは複数のエネルギー遮断器を前記基板の近傍に位置決めするステップを含む、請求項11に記載の方法。
  13. 前記処理チャンバ内に前記基板を位置決めするステップが、前記基板支持部と前記1つまたは複数のエネルギー遮断器を係合させるステップを含む、請求項12に記載の方法。
  14. 前記基板支持部と前記1つまたは複数のエネルギー遮断器を係合させるステップが、前記エネルギー遮断器に接触するように前記基板支持部を上げるステップと、前記エネルギー遮断器を持ち上げるステップとを含む、請求項13に記載の方法。
  15. 1つまたは複数のエネルギー遮断器を前記基板の近傍に位置決めするステップが、整合点を使用して前記エネルギー遮断器と前記基板支持部を整合させるステップを含む、請求項12に記載の方法。
JP2010546828A 2008-02-15 2009-02-04 ミリ秒アニーリング(dsa)の縁部保護 Expired - Fee Related JP5451643B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/032,475 2008-02-15
US12/032,475 US7754518B2 (en) 2008-02-15 2008-02-15 Millisecond annealing (DSA) edge protection
PCT/US2009/033102 WO2009102600A1 (en) 2008-02-15 2009-02-04 Millisecond annealing (dsa) edge protection

Publications (3)

Publication Number Publication Date
JP2011512674A true JP2011512674A (ja) 2011-04-21
JP2011512674A5 JP2011512674A5 (ja) 2012-03-22
JP5451643B2 JP5451643B2 (ja) 2014-03-26

Family

ID=40955524

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2010546828A Expired - Fee Related JP5451643B2 (ja) 2008-02-15 2009-02-04 ミリ秒アニーリング(dsa)の縁部保護

Country Status (7)

Country Link
US (2) US7754518B2 (ja)
EP (1) EP2248150A4 (ja)
JP (1) JP5451643B2 (ja)
KR (3) KR101749041B1 (ja)
CN (2) CN101946302B (ja)
TW (2) TWI463589B (ja)
WO (1) WO2009102600A1 (ja)

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10227695B2 (en) * 2009-12-31 2019-03-12 Applied Materials, Inc. Shadow ring for modifying wafer edge and bevel deposition
NL2009689A (en) * 2011-12-01 2013-06-05 Asml Netherlands Bv Support, lithographic apparatus and device manufacturing method.
US9005877B2 (en) 2012-05-15 2015-04-14 Tokyo Electron Limited Method of forming patterns using block copolymers and articles thereof
KR102003334B1 (ko) 2012-09-04 2019-07-24 삼성전자주식회사 패턴 형성 방법
US20140273534A1 (en) 2013-03-14 2014-09-18 Tokyo Electron Limited Integration of absorption based heating bake methods into a photolithography track system
US9147574B2 (en) 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
US8975009B2 (en) 2013-03-14 2015-03-10 Tokyo Electron Limited Track processing to remove organic films in directed self-assembly chemo-epitaxy applications
US8980538B2 (en) 2013-03-14 2015-03-17 Tokyo Electron Limited Chemi-epitaxy in directed self-assembly applications using photo-decomposable agents
US9209014B2 (en) 2013-03-15 2015-12-08 Tokyo Electron Limited Multi-step bake apparatus and method for directed self-assembly lithography control
KR102399752B1 (ko) 2013-09-04 2022-05-20 도쿄엘렉트론가부시키가이샤 유도 자기 조립용 화학 템플릿을 생성하기 위한 경화 포토레지스트의 자외선을 이용한 박리
US9793137B2 (en) 2013-10-20 2017-10-17 Tokyo Electron Limited Use of grapho-epitaxial directed self-assembly applications to precisely cut logic lines
US9349604B2 (en) 2013-10-20 2016-05-24 Tokyo Electron Limited Use of topography to direct assembly of block copolymers in grapho-epitaxial applications
CN104733344A (zh) * 2013-12-18 2015-06-24 北京北方微电子基地设备工艺研究中心有限责任公司 一种边沿保护装置及等离子体加工设备
WO2015097864A1 (ja) * 2013-12-27 2015-07-02 Ykk株式会社 補強フィルム接着装置及び開離嵌挿具付きスライドファスナー
US20150187616A1 (en) * 2013-12-31 2015-07-02 Taiwan Semiconductor Manufacturing Co., Ltd. Mechanisms of adjustable laser beam for laser spike annealing
US9410249B2 (en) 2014-05-15 2016-08-09 Infineon Technologies Ag Wafer releasing
US10892180B2 (en) * 2014-06-02 2021-01-12 Applied Materials, Inc. Lift pin assembly
US10658222B2 (en) * 2015-01-16 2020-05-19 Lam Research Corporation Moveable edge coupling ring for edge process control during semiconductor wafer processing
CN105988298B (zh) * 2015-02-02 2018-06-01 上海微电子装备(集团)股份有限公司 硅片边缘保护装置及保护方法
US10957563B2 (en) * 2015-12-30 2021-03-23 Mattson Technology, Inc. Chamber wall heating for a millisecond anneal system
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US9947597B2 (en) 2016-03-31 2018-04-17 Tokyo Electron Limited Defectivity metrology during DSA patterning
JP6618876B2 (ja) * 2016-09-26 2019-12-11 株式会社ニューフレアテクノロジー 基板処理装置、搬送方法およびサセプタ
CN106571321B (zh) * 2016-11-18 2019-12-06 中国电子科技集团公司第四十八研究所 一种用于快速热处理设备的载片台
US10704147B2 (en) * 2016-12-03 2020-07-07 Applied Materials, Inc. Process kit design for in-chamber heater and wafer rotating mechanism
US10535538B2 (en) * 2017-01-26 2020-01-14 Gary Hillman System and method for heat treatment of substrates
CN110376847B (zh) * 2018-04-12 2021-01-01 上海微电子装备(集团)股份有限公司 一种基底边缘保护环单元、光刻设备及保护方法
US20200365381A1 (en) 2019-05-14 2020-11-19 Mattson Technology, Inc. Systems And Methods For Transportation Of Replaceable Parts In a Vacuum Processing Apparatus
CN111508890B (zh) * 2020-04-28 2023-12-22 北京北方华创微电子装备有限公司 一种晶片装卸机构和半导体工艺设备
CN112670206A (zh) * 2020-12-21 2021-04-16 上海华力集成电路制造有限公司 一种改善晶圆破片的激光退火设备及其使用方法
US20230002894A1 (en) * 2021-07-01 2023-01-05 Applied Materials, Inc. Shadow ring lift to improve wafer edge performance

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000183141A (ja) * 1998-11-25 2000-06-30 Applied Materials Inc シャド―リング及びチャンバ―内のシャド―リングを支持するためのガイド
JP2001274104A (ja) * 1999-12-10 2001-10-05 Applied Materials Inc 自己整列の非接触シャドーリング処理キット
JP2005347704A (ja) * 2004-06-07 2005-12-15 Toshiba Corp 熱処理装置、熱処理方法及び半導体装置の製造方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5079112A (en) * 1989-08-07 1992-01-07 At&T Bell Laboratories Device manufacture involving lithographic processing
US5146092A (en) * 1990-05-23 1992-09-08 Ntc Technology, Inc. Gas analysis transducers with electromagnetic energy detector units
US5304248A (en) * 1990-12-05 1994-04-19 Applied Materials, Inc. Passive shield for CVD wafer processing which provides frontside edge exclusion and prevents backside depositions
JP2601179Y2 (ja) 1993-11-29 1999-11-08 日新電機株式会社 基板保持装置
JP3251875B2 (ja) * 1996-05-10 2002-01-28 株式会社東芝 荷電粒子ビーム露光装置
US6627846B1 (en) 1999-12-16 2003-09-30 Oramir Semiconductor Equipment Ltd. Laser-driven cleaning using reactive gases
DE10226603A1 (de) 2002-06-14 2004-01-08 Infineon Technologies Ag Verfahren zum Strukturieren einer Siliziumschicht sowie dessen Verwendung zur Herstellung einer integrierten Halbleiterschaltung
US7166200B2 (en) * 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US6835914B2 (en) 2002-11-05 2004-12-28 Mattson Technology, Inc. Apparatus and method for reducing stray light in substrate processing chambers
CN1322563C (zh) * 2004-01-18 2007-06-20 统宝光电股份有限公司 制备多晶硅膜层的激光退火装置及形成多晶硅膜层的方法
US20060286807A1 (en) 2005-06-16 2006-12-21 Jack Hwang Use of active temperature control to provide emmisivity independent wafer temperature
US7368303B2 (en) 2004-10-20 2008-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method for temperature control in a rapid thermal processing system
US7277213B2 (en) * 2004-12-03 2007-10-02 Microvision, Inc. Aperture plate and related system and method
US20070012557A1 (en) * 2005-07-13 2007-01-18 Applied Materials, Inc Low voltage sputtering for large area substrates
US20070221640A1 (en) 2006-03-08 2007-09-27 Dean Jennings Apparatus for thermal processing structures formed on a substrate

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000183141A (ja) * 1998-11-25 2000-06-30 Applied Materials Inc シャド―リング及びチャンバ―内のシャド―リングを支持するためのガイド
JP2001274104A (ja) * 1999-12-10 2001-10-05 Applied Materials Inc 自己整列の非接触シャドーリング処理キット
JP2005347704A (ja) * 2004-06-07 2005-12-15 Toshiba Corp 熱処理装置、熱処理方法及び半導体装置の製造方法

Also Published As

Publication number Publication date
JP5451643B2 (ja) 2014-03-26
KR101850088B1 (ko) 2018-04-18
WO2009102600A1 (en) 2009-08-20
TWI463589B (zh) 2014-12-01
CN105514001A (zh) 2016-04-20
US7754518B2 (en) 2010-07-13
EP2248150A4 (en) 2012-03-07
KR20160030321A (ko) 2016-03-16
TWI545676B (zh) 2016-08-11
KR20100123724A (ko) 2010-11-24
US20100273334A1 (en) 2010-10-28
TW201507050A (zh) 2015-02-16
US7923280B2 (en) 2011-04-12
EP2248150A1 (en) 2010-11-10
KR101749041B1 (ko) 2017-06-20
KR20170072362A (ko) 2017-06-26
CN105514001B (zh) 2018-03-09
TW201001588A (en) 2010-01-01
CN101946302B (zh) 2016-02-10
KR101608865B1 (ko) 2016-04-04
CN101946302A (zh) 2011-01-12
US20090209112A1 (en) 2009-08-20

Similar Documents

Publication Publication Date Title
JP5451643B2 (ja) ミリ秒アニーリング(dsa)の縁部保護
KR102186337B1 (ko) 기판 처리 장치
US8434937B2 (en) Method and apparatus for detecting the substrate temperature in a laser anneal system
JP5008562B2 (ja) 基板処理方法および基板処理装置
CN107924825B (zh) 半导体器件的制造方法、衬底处理装置及记录介质
KR102535623B1 (ko) 고온계 배경 제거
KR102185029B1 (ko) 기판 처리 장치
KR100364101B1 (ko) 열처리 방법
CN102097314A (zh) 一种对冷却过程进行精确控制的激光热处理装置和方法
US20160126119A1 (en) Laser annealing apparatus
CN108598015B (zh) 热处理设备及其热处理方法
KR20060082486A (ko) 기판 냉각 장치
KR102194370B1 (ko) 기판 처리 장치
JP2522544B2 (ja) 縦型熱処理炉
JP2002252180A (ja) 基板の熱処理装置
KR200158368Y1 (ko) 등방성 건식식각장치
JP2008294397A (ja) 半導体装置の製造方法
JP2002025990A (ja) 半導体デバイスの製造装置および半導体デバイスの製造方法
CN116013771A (zh) 改善晶圆表面平整度的推阱方法
JP2557105B2 (ja) 縦型熱処理炉
JP4497832B2 (ja) 半導体製造装置
JP2003272984A (ja) 半導体装置の製造方法
KR20020040069A (ko) 급속 열처리 설비의 설비 개폐 장치
JP2004356209A (ja) ウエーハ搬送用ハンド

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120202

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120202

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20130807

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130813

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131108

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20131203

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20131226

R150 Certificate of patent or registration of utility model

Ref document number: 5451643

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees