JP2011028465A - テストポイント挿入方法 - Google Patents

テストポイント挿入方法 Download PDF

Info

Publication number
JP2011028465A
JP2011028465A JP2009172676A JP2009172676A JP2011028465A JP 2011028465 A JP2011028465 A JP 2011028465A JP 2009172676 A JP2009172676 A JP 2009172676A JP 2009172676 A JP2009172676 A JP 2009172676A JP 2011028465 A JP2011028465 A JP 2011028465A
Authority
JP
Japan
Prior art keywords
logic
test
test point
order
point insertion
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2009172676A
Other languages
English (en)
Other versions
JP2011028465A5 (ja
Inventor
Takeshi Sasaki
剛 佐々木
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Electronics Corp
Original Assignee
Renesas Electronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Electronics Corp filed Critical Renesas Electronics Corp
Priority to JP2009172676A priority Critical patent/JP2011028465A/ja
Priority to US12/825,848 priority patent/US8266573B2/en
Publication of JP2011028465A publication Critical patent/JP2011028465A/ja
Publication of JP2011028465A5 publication Critical patent/JP2011028465A5/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/327Logic synthesis; Behaviour synthesis, e.g. mapping logic, HDL to netlist, high-level language to RTL or netlist
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/333Design for testability [DFT], e.g. scan chain or built-in self-test [BIST]

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

【課題】テスト回路を挿入する際のTATの増大や反復処理を抑制する。
【解決手段】テストポイント挿入方法は、ネットリストから複数のロジックコーンを抽出する工程と、複数のロジックコーンの各々に示される論理セルの接続関係に基づいて、複数のロジックコーンに対して一の順序を生成する工程と、その順序に従って順に複数のロジックコーンの各々にテストポイントを設定する工程とを備える。
【選択図】図1

Description

本発明は、LSI(大規模集積回路)等の故障検出に用いられるテスト容易化設計手法および装置に関する。
LSI等の集積回路の複雑化、大規模化に伴い、高い故障検出率を実現するテストパタンの生成には、DFT(Design For Test)設計が必要になって来ている。DFTの1つの手段として、スキャン設計を行い且つATPG(Automatic Test Pattern Generation)を実施することにより、高い検出率のパタンを作成することが出来る。さらに検出率が高いパタンを生成する為には、回路の制御性あるいは観測性を上げるようなDFT手法(ここでは、テストポイント挿入手法と呼ぶ)が必要となる。
テストポイント挿入方法として、幾つかの方法が知られている。一般的な方法では、ATPGによってパタン生成を行い、故障シミュレーションによって制御性及び観測性の悪い部分(故障検出が出来ない部分、あるいは故障検出が難しい部分)を特定する。特定された部分に、制御性及び観測性を向上させるためのテスト回路を挿入する。
しかし、この一般的な方法では、テスト回路を挿入する箇所がDFT、ATPGの後に特定される。従ってテスト回路を挿入するためにDFT前工程に戻る必要がある。このような方法ではイタレーションの規模が大きい為、設計TATの短い製品などには適していない。
背景技術の一例として、特許文献1を挙げる。この文献の図1の処理フローでは、乱数的なパタンを生成し、故障シミュレーションを実施している。そこで得られた回路の故障検出率が十分で無い場合に、テストポイント特定処理が行われる。テストポイントの特定は、以下のように行われる。テストポイント挿入候補を選定し、そのテストポイントに“仮定的に”テスト回路を挿入する。故障シミュレーションを実施し、検出率が改善されるかどうかを見る。検出率が改善された場合に、そのテストポイント挿入候補をテストポイントとして特定する。
特開2000−250946号公報
上に挙げた技術では、パタン生成や故障シミュレーションを用いて故障検出が不可能あるいは困難な箇所を特定する。もしそのような箇所にテスト回路を挿入することで制御性及び観測性が向上すれば、その箇所をテストポイントとして特定する。このような処理においては、テストポイント挿入によって高い改善効果が得られるが、テストポイント挿入箇所を特定するための時間が必要となる。
またDFT(特にスキャン設計)を行った回路の場合、テストポイント特定後にテスト回路を挿入するためにはDFT前の工程に戻らなければならない。なぜならば、制御性及び観測性を向上する為のテスト回路にFFを使用している場合には、一般的なスキャン設計ツールを用いて自動的にテスト回路のFFをスキャンチェインに組み込む為には、スキャン挿入の前段階に戻らなければならないからである。
以下に、[発明を実施するための形態]で使用される番号を括弧付きで用いて、課題を解決するための手段を説明する。これらの番号は、[特許請求の範囲]の記載と[発明を実施するための形態]との対応関係を明らかにするために付加されたものである。ただし、それらの番号を、[特許請求の範囲]に記載されている発明の技術的範囲の解釈に用いてはならない。
本発明の一側面によるテストポイント挿入方法は、ネットリストから複数のロジックコーンを抽出する工程(S1)と、複数のロジックコーンの各々に示される論理セルの接続関係に基づいて、複数のロジックコーンに対して一の順序を生成する工程(S2、S3)と、その順序に従って順に複数のロジックコーンの各々にテストポイントを設定する工程(S5)とを備える。
本発明の一側面によるテストポイント挿入システムは、ネットリストから複数のロジックコーンを抽出する抽出部(10−1)と、複数のロジックコーンの各々に示される論理セルの接続関係に基づいて、複数のロジックコーンの各々に対して一の順序を生成する生成部(10−2)と、その順序に従って順に複数のロジックコーンの各々にテストポイントを設定する設定部(10−3)とを備える。
本発明によれば、設計の初期段階で入手可能なネットリストを用いてロジックコーンの構造や構成を解析し、その解析結果に基づいてテストポイントの特定とテスト回路の挿入を行う。そのためテストポイントを特定するためのTATの増大や、テスト回路を挿入するための大きな手戻りを伴うイタレーティヴ処理を抑制することができる。
図1は、本発明の一実施形態におけるテストポイント挿入手法を示すフローチャートである。 図2は、図3、図4で示すロジックコーン構成を持つ回路例である。 図3は、図2の回路例を用いて、外部入力端子、FFのデータ出力端子を始点とした場合のロジックコーンを示した回路図である。 図4は、図2の回路例を用いて、外部出力端子、FFのデータ入力端子を始点とした場合のロジックコーンを示した回路図である。 図5は、ロジックコーンと論理段数を示した回路例である。 図6Aは、テストポイントが挿入される回路の例である。 図6Bは、制御性、観測性の両方を向上させるためにテストポイントに挿入するテスト回路例である。 図7は、ロジックコーンリストである。(A)、(B)、(C)、(D)はロジックコーン名を示している。 図8は、ロジックコーン(C)を削除したロジックコーンリストである。 図9は、テストポイント特定例を示した図である。 図10は、テストポイント挿入システムの構成を示す。
以下、図面を参照して本発明の実施形態について説明する。図1は本実施形態におけるテストポイント挿入方法のフローチャートである。図5に示したロジックコーン例を用いてこの方法を説明する。
図5は(A)、(B)、(C)、(D)の4つのロジックコーンを持つ回路の例を示す。ロジックコーン(A)は、論理段数2段のPath“IN1→FF1”、論理段数3段のPath“IN1→FF2”、論理段数4段のPath“IN1→FF3”の3つのPathで構成されている。ロジックコーン(B)は、論理段数4段のPath“OUT1→FF10”、論理段数4段のPath“OUT1→FF11”の2つのPathで構成されている。ロジックコーン(C)は、論理段数1段のPath“FF7→FF6”、論理段数1段のPath“FF7→FF5”、論理段数6段のPath“FF7→FF2”、論理段数4段のPath“FF7→FF4”の4つのPathで構成されている。ロジックコーン(D)は、論理段数4段のPath“FF7→FF8”、論理段数4段のPath“FF7→FF9”、論理段数8段の“FF7→FF11”の3つのPathで構成されている。
図1のフローチャートに示されるように、本実施形態のテストポイント挿入方法は、以下の工程を有する。
ステップS1:入力されたネットリストからロジックコーンを抽出する。
ステップS2:各ロジックコーンを数値化する。
ステップS3:ロジックコーンリストを作成する。図7はロジックコーンリストの一例を示す。
ステップS4:終了条件を判定する。
ステップS5:テスト回路を挿入する。
ステップS6:ロジックコーンリスト修正の動作を繰り返す。
図2は、ここで言うロジックコーンを説明する為に用意した回路例であり、図3及び図4に示された回路図の元回路として使用する。この回路は、外部入力端子IN1、IN2及びIN3、外部出力端子OUT1及びOUT2、フロップフロップ(以降FFと呼ぶ)FF1、FF2、FF3、FF4を有する。外部入力端子、外部出力端子、FFの間に描かれた雲形の記号は任意の組み合わせ回路を表現している。
図3は、回路の信号出力側の各端子から出力側に向う経路(Path)の集まりによって形成されるロジックコーンを示す。各経路の始点は、外部入力端子IN1、IN2、IN3とFF1、FF2、FF3、FF4の各々のデータ出力端子である。各経路の終点は、始点から外部出力端子OUT1、OUT2の方向にトレースして、外部出力端子OUT1、OUT2あるいは、FF1、FF2、FF3、FF4のいずれかのデータ入力端子まで到達する経路の到達点である。例えば、図3のロジックコーンCout_1は、外部入力端子IN1を始点とし、FF1のデータ入力端子、FF2のデータ入力端子をそれぞれ終点とするPathの集まりである。
図4は、回路の信号入力側の各端子から入力側に向う経路の集まりによって形成されるロジックコーンを示す。この経路の始点は、外部出力端子OUT1、OUT2とFF1、FF2、FF3、FF4の各々のデータ入力端子である。各経路の終点は、始点から外部入力端子IN1、IN2、IN3の方向にトレースして、外部入力端子IN1、IN2、IN3あるいはFF1、FF2、FF3、FF4のデータ出力端子のいずれかに到達する経路の到達点である。例えば、図4のロジックコーンCin_3は、FF3のデータ入力端子を始点とし、FF1のデータ出力端子、FF2のデータ出力端子をそれぞれ終点とするPathの集まりである。
図6Aは、本実施形態のテストポイント挿入方法が適用される回路の例を示す。図6Aのフリップフロップ素子6−1とフリップフロップ素子6−2との間に図6Bに示すように制御性・観測性テスト回路6−3を挿入することにより、制御性及び観測性を向上する事が可能である。
図7は、図5を例とした場合のロジックコーンリストを示している。このようなロジックコーンリストの上位からテストポイントの設定が行われる。図5の例では、(C)、(D)、(A)、(B)の順序でテストポイントの設定が行われる。図8はロジックコーン(C)に対してテスト回路を挿入した後のロジックコーンリストを示している。ロジックコーン(C)がリストから外されることにより、次にロジックコーン(D)に対してテストポイントの設定が行われる。
図9は、テストポイント特定例を示している。素子E1〜E6が存在する経路FF7→FF2を3等分した位置P1、P2にテストポイントが設定された例が示されている。
以下、図1に示したテストポイント挿入方法の各工程の詳細について説明する。
ステップS1:ロジックコーン抽出
予め記憶装置に保存したネットリストから全ての外部入出力端子、全てのFFのデータ入力端子、出力端子を始点、終点として複数のロジックコーンを抽出する。図5に示された(A)、(B)、(C)、(D)の4つのロジックコーンのみを抽出できたと仮定する。
ステップS2:ロジックコーン数値化
抽出された(A)、(B)、(C)、(D)の複数のロジックコーンの各々に示される論理セルの接続関係(接続関係の情報はネットリストから得ることができる)に基づいて、複数のロジックコーンの各々に対してロジックコーン値CornVを与える。この処理により各ロジックコーンが数値化される。
ロジックコーンの数値化は、各ロジックコーンを構成するパスの総数と、各パスの論理段数の総和とに基づいて行われる。例えば以下のロジックコーン値算出式によってロジックコーン値CornVを決めることができる。
CornV(Corn名)=(P(L)×α)×(P(G)×β)
P(L)は当該ロジックコーンを構成する全てのPathの論理段数の総和、P(G)は当該ロジックコーンを構成するPathの総数を示す。このような全てのPathの論理段数の総和とPathの総数との積は、各ロジックコーンについてのテストの必要性を表すために好適な指標である。
αはPathの論理段数の総和が制御性及び観測性に与える影響度を示す。βはPathの数が制御性及び観測性に与える影響度を示す。αとβは、“0<α、β<=1”を満たす係数であり、例えば設計者が入力装置に対して行う入力操作によって設定される。本例では、α=β=1としてCornV(A)〜CornV(D)を計算する。
まずロジックコーン(A)の値CornV(A)は以下のように計算される。
ロジックコーン(A)は、論理段数2段のPath“IN1→FF1”、論理段数3段のPath“IN1→FF2”及び論理段数4段のPath“IN1→FF3”の3つのPathで構成されている。即ちP(L)=2+3+4=9、P(G)=3
である。従ってロジックコーン値は以下のようになる。
CornV(A)=9α×3β=27
同様に(B)、(C)、(D)のCornVは以下のように算出される。
CornV(B)=(4+4)α×2β=8α×2β=16
CornV(C)=(1+1+4+6)α×4β=12α×4β=48
CornV(D)=(4+4+8)α×3β=12α×3β=48
ステップS3:ロジックコーンリスト作成
ステップS2の計算結果より、数値化されたロジックコーンの値の大きい順に並べてリスト化することにより、複数のロジックコーンに対して一の順序(ロジックコーン間ランキング)が生成される。同じ数値同士のロジックコーンについては、その中での順番付けは予め設定された任意のアルゴリズムにより自動的に行われる。本例ではロジックコーン(C)とロジックコーン(D)の数値が共に48となる。その為、この2つのロジックコーン間で順番付けを行う必要がある。以下の説明においては、図7に示すようにロジックコーン(C)の方がロジックコーン(D)よりも順序が上であるようにロジックコーンリストを作成する事とした。
ステップS4:終了条件判定
予め決められたテスト回路挿入可能数をN1とし、1回の処理で挿入されるテストポイント(テスト回路)の数をN2とし、既に設定され挿入されたテストポイント数をN3とする。N1−N2<N3が満たされる場合はステップS5に進む。N1−N2≧N3の場合は、テストポイント挿入処理が終了される。
テスト回路挿入可能数N1は、予め決められたテスト回路によるオーバヘッド面積の上限値とテスト回路1つの面積から算出する。例えば、“テスト回路によるオーバヘッド面積の上限値”を1000Grids、“テスト回路1つの面積”を100Gridsとした場合、1000/100=10となる。従って、テスト回路挿入可能数は、10個となる。1回の処理で挿入するテスト回路数N2は、1回のステップS5の処理で挿入されるテスト回路数である。挿入したテスト回路数N3は、本処理で実際に挿入したテスト回路の総数である。
ステップS5:テスト回路挿入
ステップS3で作成されたリストの順序に従って順に、複数のロジックコーンの各々にテストポイントが設定される。具体的には、ロジックコーンリストの一番上(順序が最上位)のロジックコーンがテスト回路挿入対象のロジックコーンとして選択され設定される。本例ではロジックコーン(C)がテスト回路の挿入対象として設定される。
ロジックコーン(C)の中で最も論理段数の多いPathを選択する。この場合、論理段数6のPath“FF7→FF2”が対象Pathとなる。対象Pathを“1回の処理で挿入するテスト回路数+1”等分し、分割されたPathにテスト回路を挿入する(本例では、“1回の処理で挿入するテスト回路数”を“2”とする)。つまり、図9のように論理段数6のPath“FF7→FF2”を3等分し、分割されたPathに図6のテスト回路を2つ挿入する。等分できない場合は、可能な限り等分に近い分割が行われ、各分割点にテスト回路が挿入される。
ステップS6:ロジックコーンリスト修正
ロジックコーンリストから、ステップS5でテスト回路を挿入したロジックコーンを削除する。つまり図8のように最上位のロジックコーンを削除し、第2位以下のロジックコーンの順序を繰り上げる。
上記ステップS4からステップS6の処理を“テスト回路挿入可能数”を超えるまで繰り返し実施する。もしステップS6でロジックコーンリストが“空”になったが、“テスト回路挿入可能数”を超えていない場合、テスト回路挿入済みのネットリストを入力ネットリストとして、再度、ステップS1からステップS6の処理を繰り返す。
以上のように、設計の初期段階で入手可能なネットリストを使用して、そのロジックコーンの構造、構成を解析した結果から、テストポイントを挿入するロジックコーンの優先順位の決定と、ロジックコーン内部のどの部分にテスト回路を挿入するかの決定を行う事が出来る。
設計の初期段階で入手可能な情報を元に、設計初期段階にテストポイント挿入を実施する事で、テストポイント挿入処理に伴うイタレーティヴ処理を回避できる。またロジックコーンの解析に基づいてテストポイントの特定とテスト回路の挿入が可能である為、短時間でテスト回路挿入が可能である。この2つの特徴から、設計TATの短いLSI製品に対してもテストポイント挿入が実施できる。
図10は、以上に説明した処理を行うためのテストポイント挿入システム10を示す。テストポイント挿入システム10は、抽出部10−1、生成部10−2、設定部10−3、終了判定部10−4の各部を含む。テストポイント挿入システム10は、コンピュータによって実現することができる。これら各部は、記憶媒体に格納されたコンピュータ可読なプログラムをCPUが読み出して実行するによって実現することができる。
このようなテストポイント挿入システム10においては、ネットリストが予め記憶媒体に格納される。抽出部10はステップS1の処理を行うことにより、ネットリストからロジックコーンを自動的に抽出する。生成部10−2は、予め記憶媒体に格納されたロジックコーン値算出式に基づいて、抽出された各ロジックコーンを数値化してロジックコーンリストを生成する(ステップS2、ステップS3)。終了判定部10−4はステップS4の判定処理を行う。設定部10−3は、ステップS5の処理によりテスト回路を自動的に挿入する。設定部10−3は更に、テスト回路を挿入したロジックコーンをロジックコーンリストの最上位から外し、第2位以下のロジックコーンを繰り上げるリスト更新処理を行って、ステップS4の処理に戻す。このような動作を行うテストポイント挿入システム10により、テストポイントの挿入を実現することができる。
6−1、6−2 フリップフロップ素子
6−3 制御性・観測性テスト回路
10 テストポイント挿入システム
10−1 抽出部
10−2 生成部
10−3 設定部
10−4 終了判定部

Claims (6)

  1. ネットリストから複数のロジックコーンを抽出する工程と、
    前記複数のロジックコーンの各々に示される論理セルの接続関係に基づいて、前記複数のロジックコーンに対して一の順序を生成する工程と、
    前記順序に従って順に前記複数のロジックコーンの各々にテストポイントを設定する工程
    とを具備するテストポイント挿入方法。
  2. 請求項1に記載されたテストポイント挿入方法であって、
    前記順序は、前記複数のロジックコーンの各々を構成する少なくとも一つのパスの総数と前記少なくとも一つのパスの各々の論理段数の総和とに基づいて生成される
    テストポイント挿入方法。
  3. 請求項2に記載されたテストポイント挿入方法であって、
    前記順序は、前記複数のロジックコーンの各々を構成する少なくとも一つのパスの総数をP(G)とし、前記少なくとも一つのパスの各々の論理段数の総和をP(L)とし、α及びβを係数として、以下の式:
    (P(L)×α)×(P(G)×β)
    によって生成される数値によって決定される
    テストポイント挿入方法。
  4. 請求項1から3のいずれかに記載されたテストポイント挿入方法であって、
    更に、予め決められたテスト回路挿入可能数N1と、1回の処理で設定される前記テストポイントの数N2と、既に設定された前記テストポイントの数N3とに基づいて、N1−N2≧N3が満たされた場合にテストポイントの挿入を終了する工程を具備し、
    前記設定する工程において、N1−N2<N3が満たされている間、前記順序に従った前記テストポイントの設定が繰り返される
    テストポイント挿入方法。
  5. 請求項1から4のいずれかに記載されたテストポイント挿入方法をコンピュータに実行させるためのプログラム。
  6. ネットリストから複数のロジックコーンを抽出する抽出部と、
    前記複数のロジックコーンの各々に示される論理セルの接続関係に基づいて、前記複数のロジックコーンの各々に対して一の順序を生成する生成部と、
    前記順序に従って順に前記複数のロジックコーンの各々にテストポイントを設定する設定部
    とを具備するテストポイント挿入システム。
JP2009172676A 2009-07-24 2009-07-24 テストポイント挿入方法 Pending JP2011028465A (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2009172676A JP2011028465A (ja) 2009-07-24 2009-07-24 テストポイント挿入方法
US12/825,848 US8266573B2 (en) 2009-07-24 2010-06-29 Method and system for test point insertion

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009172676A JP2011028465A (ja) 2009-07-24 2009-07-24 テストポイント挿入方法

Publications (2)

Publication Number Publication Date
JP2011028465A true JP2011028465A (ja) 2011-02-10
JP2011028465A5 JP2011028465A5 (ja) 2012-03-29

Family

ID=43498325

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009172676A Pending JP2011028465A (ja) 2009-07-24 2009-07-24 テストポイント挿入方法

Country Status (2)

Country Link
US (1) US8266573B2 (ja)
JP (1) JP2011028465A (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014075085A (ja) * 2012-10-05 2014-04-24 Fujitsu Ltd 設計支援装置、設計支援方法、および設計支援プログラム

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5899781B2 (ja) * 2011-10-11 2016-04-06 富士通株式会社 設計支援装置、設計支援方法および設計支援プログラム
TWI509408B (zh) * 2013-01-23 2015-11-21 Realtek Semiconductor Corp 死結偵測方法以及機器可讀媒體
WO2015087825A1 (ja) * 2013-12-09 2015-06-18 株式会社フジシールインターナショナル パウチ容器及びパウチ容器の製造方法
CN105631077B (zh) 2014-11-07 2020-05-15 恩智浦美国有限公司 具有增大的故障覆盖率的集成电路
US11947887B1 (en) * 2022-09-27 2024-04-02 Cadence Design Systems, Inc. Test-point flop sharing with improved testability in a circuit design

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000250946A (ja) * 1999-02-25 2000-09-14 Toshiba Corp Lsi回路のテスト容易化設計方法および装置ならびにテスト容易化設計処理プログラムを記録したコンピュータ読取り可能な記録媒体
JP2004185550A (ja) * 2002-12-06 2004-07-02 Matsushita Electric Ind Co Ltd 半導体集積回路のテスト容易化設計方法および装置
JP2006259820A (ja) * 2005-03-15 2006-09-28 Fujitsu Ltd 故障検出改善装置、故障検出改善プログラム、故障検出改善方法

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3777129A (en) * 1972-05-22 1973-12-04 Gte Automatic Electric Lab Inc Fault detection and localization in digital systems
US5225771A (en) * 1988-05-16 1993-07-06 Dri Technology Corp. Making and testing an integrated circuit using high density probe points
JP2005135226A (ja) * 2003-10-31 2005-05-26 Matsushita Electric Ind Co Ltd 半導体集積回路のテスト回路挿入方法及び装置
US7376875B2 (en) * 2005-07-14 2008-05-20 International Business Machines Corporation Method of improving logical built-in self test (LBIST) AC fault isolations
US7562321B2 (en) * 2005-12-12 2009-07-14 Nec Laboratories America, Inc. Method and apparatus for structured ASIC test point insertion

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000250946A (ja) * 1999-02-25 2000-09-14 Toshiba Corp Lsi回路のテスト容易化設計方法および装置ならびにテスト容易化設計処理プログラムを記録したコンピュータ読取り可能な記録媒体
JP2004185550A (ja) * 2002-12-06 2004-07-02 Matsushita Electric Ind Co Ltd 半導体集積回路のテスト容易化設計方法および装置
JP2006259820A (ja) * 2005-03-15 2006-09-28 Fujitsu Ltd 故障検出改善装置、故障検出改善プログラム、故障検出改善方法

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
CSNG200200030033; 小沢明 他: 'システムLSIにおける順序回路ATPGの効果的な適用手法' DAシンポジウム2000 第8号, 20000717, p.209-212, 社団法人情報処理学会 *
JPN6012062242; 小沢明 他: 'システムLSIにおける順序回路ATPGの効果的な適用手法' DAシンポジウム2000 第8号, 20000717, p.209-212, 社団法人情報処理学会 *

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2014075085A (ja) * 2012-10-05 2014-04-24 Fujitsu Ltd 設計支援装置、設計支援方法、および設計支援プログラム

Also Published As

Publication number Publication date
US20110022906A1 (en) 2011-01-27
US8266573B2 (en) 2012-09-11

Similar Documents

Publication Publication Date Title
US8762912B2 (en) Tiered schematic-driven layout synchronization in electronic design automation
JP2011028465A (ja) テストポイント挿入方法
US20100042396A1 (en) Automated Method for Validating Manufacturing Test Rules Pertaining to an Electronic Component
JPH07306882A (ja) ディレイ・レーシング・エラーリスト出力装置
JP2000148808A (ja) スケジュ―リングされた動作記述に対するストラクチュラルrtlの正当性検証方法
WO2012124117A1 (ja) タイミングエラー除去方法、設計支援装置、及びプログラム
US8898602B2 (en) Apparatus for design assist and method for selecting signal line onto which test point for test controlling is to be inserted in circuit to be designed
CN111553120A (zh) 一种数字电路局部时钟网络spice网表的生成方法
JP2004013720A (ja) 論理回路のタイミング制約モデル生成方法、論理回路のタイミング制約モデル生成プログラム、およびタイミング制約モデルを用いるタイミングドリブンレイアウト方法
US10891411B2 (en) Hierarchy-driven logical and physical synthesis co-optimization
JP5444985B2 (ja) 情報処理装置
JP2008293088A (ja) 半導体集積回路及びその設計方法
TWI488063B (zh) 最佳化並驗證第一電路的設備,方法及電腦可讀取儲存媒體
US8443314B1 (en) Abstraction level-preserving conversion of flip-flop-inferred hardware description language (HDL) to instantiated HDL
US8181146B1 (en) Equivalence checker
JP2008243092A (ja) マルチサイクルパス検出装置、マルチサイクルパス検出方法及びマルチサイクルパス検出プログラム
Yao et al. Path selection based on static timing analysis considering input necessary assignments
JP2012207993A (ja) テストパタン生成システム、テストパタン生成方法、およびテストパタン生成プログラム
JP2008269585A (ja) プロパティ記述のカバレッジ測定装置及びプログラム
JP2008153489A (ja) 半導体集積回路の設計方法及び設計プログラム
Ashar et al. Verification of scheduling in the presence of loops using uninterpreted symbolic simulation
JP2008059032A (ja) 半導体集積回路の回路検証方法および回路検証装置
JP6394278B2 (ja) 有限状態機械の設計検証装置、設計検証方法、及び設計検証プログラム
Pomeranz et al. On diagnosis and diagnostic test generation for pattern-dependent transition faults
Pomeranz Test Generation for Functionally-Possible Subpaths

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120213

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120213

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20121115

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121128

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130325