JP2005135226A - 半導体集積回路のテスト回路挿入方法及び装置 - Google Patents

半導体集積回路のテスト回路挿入方法及び装置 Download PDF

Info

Publication number
JP2005135226A
JP2005135226A JP2003371761A JP2003371761A JP2005135226A JP 2005135226 A JP2005135226 A JP 2005135226A JP 2003371761 A JP2003371761 A JP 2003371761A JP 2003371761 A JP2003371761 A JP 2003371761A JP 2005135226 A JP2005135226 A JP 2005135226A
Authority
JP
Japan
Prior art keywords
circuit
test
test point
wiring
controllability
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2003371761A
Other languages
English (en)
Inventor
Ryoji Shioda
良治 塩田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Holdings Corp
Original Assignee
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Matsushita Electric Industrial Co Ltd filed Critical Matsushita Electric Industrial Co Ltd
Priority to JP2003371761A priority Critical patent/JP2005135226A/ja
Priority to US10/976,323 priority patent/US7207019B2/en
Publication of JP2005135226A publication Critical patent/JP2005135226A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3183Generation of test inputs, e.g. test vectors, patterns or sequences
    • G01R31/318342Generation of test inputs, e.g. test vectors, patterns or sequences by preliminary fault modelling, e.g. analysis, simulation
    • G01R31/31835Analysis of test coverage or failure detectability

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)
  • Tests Of Electronic Circuits (AREA)

Abstract

【課題】半導体集積回路のテストポイント挿入において、レイアウト設計の負担を軽減し、チップサイズの増大を防ぎながら高い故障検出率を得る。
【解決手段】対象の回路情報から可制御性確率を算出し(S002)、また回路情報により初期レイアウトを実行し(S003)、レイアウト結果から配線ペアを抽出し(S004)、可制御性確率と前記配線ペアに基づきテストポイント挿入候補を抽出し(S005)、テストポイント挿入候補の存在を判定し(S006)、テストポイント挿入候補が存在した場合にテストポイント挿入位置を選択し(S007)、そこに挿入するテストポイントの回路構成を選択し(S008)、仮想的にこの回路構成のテストポイントを挿入し(S009)、挿入された回路に対して再レイアウトを実行し(S010)、その結果の回路オーバーヘッドが所定範囲内であるかを判断し(S011)、所定範囲を超えた場合にテストポイント数の絞込みを実施する(S012)。
【選択図】 図1

Description

本発明は半導体集積回路のテスト容易化設計技術に係り、特にテスト回路挿入方法及びテスト回路挿入装置に関するものである。
半導体集積回路のテストにおいて十分な故障検出率を達成するため、スキャン設計に代表されるテスト容易化設計技術が広く採用されている。しかし回路規模の増大や回路の複雑化により、所望の故障検出率を達成するためのテストパターン生成の処理時間も増大する傾向にある。その対策として、回路内部にテストポイントを挿入してテスト容易性を高める方法が採用されている。
従来の半導体集積回路のテスト回路挿入方法においては、論理素子及び信号線がテスト対象回路と同一構成になるようにコンピュータ上にモデル回路を構成し、これにテストパターンを入力して故障シミュレーションを行うことにより故障検出率及び各信号線の故障阻止情報を求め、これらの情報に基づいてテストポイント挿入点を決定し、テスト回路を挿入している(例えば、特許文献1参照)。
図19は、従来の半導体集積回路のテスト回路挿入方法の処理工程を示すフローチャートである。図19において、S101で回路情報を入力し、S102で乱数的パタン生成及び故障シミュレーションを実施し、S103で故障検出率が充分かどうかを判断し、充分な場合はS104でテストポイントを挿入し、S105で回路情報を出力している。
S103で故障検出率が不充分であった場合は、S106でテストポイント挿入候補を抽出し、S107で候補が存在しているかどうか判定し、S108で候補を選択し、S109で仮想的にテストポイントを挿入し、S110で故障シミュレーションを実施し、S111で故障検出率が向上したかどうかを判定し、向上するとS112でテストポイント挿入点として登録し、S107からの処理を繰り返している。
S110の故障シミュレーションにおいては、故障検出率及び各信号線についての故障阻止情報を求め、これに基づいてテストポイント挿入点を決定する。このような方法により、チップサイズの増大を防ぎながら高い故障検出率を得るようにしている。
特開2000−250946号公報
しかしながら、上記従来の方法では、故障シミュレーションによる故障検出率及び故障阻止情報に基づいてテストポイントを決定するのみで、テスト回路挿入におけるレイアウト面を考慮していない。そのため、テスト回路挿入による配線混雑が発生し、結果としてチップサイズが増大するという欠点を有していた。
本発明の目的は前記従来の問題点を解決するもので、従来手法で得られたテストポイント挿入点に対して、テスト回路を挿入した後のレイアウト設計の負担を軽減することができ、チップサイズの増大を防ぎながら高い故障検出率を得ることができる半導体集積回路のテスト回路挿入方法及び装置を提供することにある。
この目的を達成するために、本発明の半導体集積回路のテスト回路挿入方法は、回路情報を入力する工程と、前記回路情報から可制御性確率を算出する工程と、前記回路情報により初期レイアウトを実行する工程と、前記初期レイアウトの結果から配線ペアを抽出する工程と、前記可制御性確率と前記配線ペアに基づきテストポイント挿入候補を抽出する工程と、前記テストポイント挿入候補の存在を判定する工程と、前記テストポイント挿入候補が存在した場合にテストポイント挿入位置を選択する工程と、前記テストポイント挿入位置に挿入するテストポイントの回路構成を選択する工程と、仮想的に前記回路構成のテストポイントを挿入する工程と、前記仮想的にテストポイントが挿入された回路に対して再レイアウトを実行する工程と、前記再レイアウトの結果の回路オーバーヘッドが所定範囲内であるかを判断する工程と、前記回路オーバーヘッドが所定範囲を超えた場合にテストポイント数の絞込みを実施する工程とを備える。
上記構成によれば、回路情報から0−可制御性確率及び1−可制御性確率を算出することで低故障検出率の要因を抽出し、レイアウト情報から配線ペアを抽出し、これらの情報を用いて有効なテストポイントを絞り込むことができるため、テストポイントにテスト回路を挿入した場合のレイアウト設計の負担を軽減することができ、チップサイズの増大を防ぎながら高い故障検出率を得ることができる。
さらに、本発明のテスト回路挿入方法は、前記仮想的に前記回路構成のテストポイントを挿入する工程の次に、前記挿入されたテストポイントをスキャンチェーンとして接続する工程を備える。この構成によれば、テストポイントを接続したスキャンチェーンを経由してテストポイントに任意の値を設定することができるため、可制御性確率がいかなる値であっても回路状態を活性化させてテストを実施することができ、故障発生時の早期故障個所の絞込みが可能となる。
さらに、本発明のテスト回路挿入方法は、前記回路情報を入力する工程の次に前記回路情報に関する故障モデル情報を入力する工程を備え、前記テストポイントの回路構成を選択する工程の次に前記故障モデルに対応したテストポイントを選択する工程を備える。この構成によれば、故障モデル情報に基づき不良解析に有効なテストポイント挿入箇所を絞り込むことができるため、テスト結果で発生した故障の特定が容易になり、不良解析を容易に実施することができるようになる。
さらに、本発明のテスト回路挿入方法は、前記配線ペアを抽出する工程では、配線間隔が基準以下である配線ペアを抽出するか、前記配線ペアを抽出する工程では、同一レイヤ上で平行配線長が基準以上である配線ペアを抽出する。
さらに、本発明のテスト回路挿入方法は、前記配線ペアを抽出する工程では、前記ピッチ幅が基準以下であるか、または前記平行配線長が基準以上であるために抽出された配線ペアの中で、前記配線ペアの最終段セルが同じ能力を持つ配線ペアを抽出する。
また、本発明のテスト回路挿入方法は、前記配線ペアを抽出する工程では、異なるマスクレイヤ上で配線がクロスしている配線ペアを抽出する。
また、本発明のテスト回路挿入方法は、前記テストポイント挿入位置を選択する工程では、前記平行配線長が基準以上である配線ペアが抽出された場合に、信号線の平行配線部分の前後のいずれかをテストポイント挿入位置として選択する。
また、本発明のテスト回路挿入方法は、前記テストポイント挿入回路構成を選択する工程では、前記抽出された配線ペアのいずれかが前記抽出された他の配線ペアに含まれているか否かをチェックする。
上記構成によれば、故障発生の可能性が高いと考えられる箇所に、レイアウト面を考慮してテスト回路挿入を行うことができるため、テスト回路挿入による配線混雑を回避し、テストポイントにテスト回路を挿入した場合のレイアウト設計の負担を軽減することができ、チップサイズの増大を防ぎながら高い故障検出率を得ることができる。
また、本発明のテスト回路挿入方法は、前記テストポイント数の絞込みを実施する工程においては、配線ペアの可制御性確率に応じてテストポイントの回路構成を選択する。この構成によれば、可制御性確率値を基づきテストポイント数を絞り込んでいくことにより、テストポイントを効率の良いポイントに挿入することができ、チップ全体の故障検出率を向上させることができる。
本発明の半導体集積回路のテスト回路挿入装置は、回路情報を入力する手段と、前記回路情報から可制御性確率を算出する手段と、前記回路情報により初期レイアウトを実行する手段と、前記初期レイアウトの結果から配線ペアを抽出する手段と、前記可制御性確率と前記配線ペアに基づきテストポイント挿入候補を抽出する手段と、前記テストポイント挿入候補の存在を判定する手段と、前記テストポイント挿入候補が存在した場合にテストポイント挿入位置を選択する手段と、前記テストポイント挿入位置に挿入するテストポイントの回路構成を選択する手段と、仮想的に前記回路構成のテストポイントを挿入する手段と、前記仮想的にテストポイントが挿入された回路に対して再レイアウトを実行する手段と、前記再レイアウトの結果の回路オーバーヘッドが所定範囲内であるかを判断する手段と、前記回路オーバーヘッドが所定範囲を超えた場合にテストポイント数の絞込みを実施する手段とを備える。
上記構成によれば、回路情報から0−可制御性確率及び1−可制御性確率を算出することで低故障検出率の要因を抽出し、レイアウト情報から配線ペアを抽出し、これらの情報を用いて有効なテストポイントを絞り込むことができるため、テストポイントにテスト回路を挿入した場合のレイアウト設計の負担を軽減することができ、チップサイズの増大を防ぎながら高い故障検出率を得ることができる。
さらに、本発明のテスト回路挿入装置は、前記仮想的に前記回路構成のテストポイントを挿入した後に、前記挿入されたテストポイントをスキャンチェーンとして接続する手段を備える。この構成によれば、テストポイントを接続したスキャンチェーンを経由してテストポイントに任意の値を設定することができるため、可制御性確率がいかなる値であっても回路状態を活性化させてテストを実施することができ、故障発生時の早期故障個所の絞込みが可能となる。
さらに、本発明のテスト回路挿入装置は、前記回路情報を入力した後に前記回路情報に関する故障モデル情報を入力する手段と、前記テストポイントの回路構成を選択した後に前記故障モデルに対応したテストポイントを選択する手段とを備える。この構成によれば、故障モデル情報に基づき不良解析に有効なテストポイント挿入箇所を絞り込むことができるため、テスト結果で発生した故障の特定が容易になり、不良解析を容易に実施することができるようになる。
本発明によれば、低故障検出率の要因を抽出し、初期レイアウト結果に基づき故障発生の可能性が高い配線ペアを抽出することで、有効なテストポイント挿入箇所を決定することができるため、テスト回路を挿入した場合のレイアウト設計の負担を軽減することができ、チップサイズの増大を防ぎながら高い故障検出率を得ることができる。また、故障モデルを考慮してテストポイント挿入箇所を決定することができるため、不良解析を容易にすることができる。
(実施の形態1)
図1は本発明の実施の形態1に係る半導体集積回路のテスト回路挿入方法の処理工程を示すフローチャートである。図1において、S001で対象となる回路情報を入力する。この回路に対してS002で0−可制御性確率及び1−可制御性確率を算出する。さらに、この回路に対してS003で初期レイアウトを実行し、その結果からS004で問題となりそうな配線ペアを抽出する。
次に、S002及びS004の処理で得られた情報を用いてS005でテストポイント挿入候補を抽出する。さらにS006では、S005で抽出したテストポイント挿入候補が対象回路の構成上存在するかどうか確認する。確認した結果、候補が存在すれば、S007でテストポイント挿入位置を選択し、S008でテストポイント挿入回路構成を選択する。
次に、S009で選択した内容を反映した回路を作成する。このテストポイント挿入後の回路に対して、S010で再度レイアウトを実行する。このレイアウト結果をもとに、S011でテストポイント挿入前と比べて回路オーバーヘッドが必要以上に発生していないかどうか判断する。S011において、判定結果が合格ならば処理は終了し、不合格ならばS012においてテストポイント数を絞込み、S009以降の処理をやり直す。
図3から図6はS004における配線ペアを抽出方法を示す図である。図3から図5において、101は配線ペアとなる一方の信号を生成する内部回路A、102は配線ペアとなる他方の信号を生成する内部回路B、103は内部回路Aからの信号を受ける内部回路C、104は内部回路Bからの信号を受ける内部回路D、111は配線ペアとなる一方の信号線、112は配線ペアとなる他方の信号線である。
図3は、配線間隔が基準以下である配線ペアの抽出方法を示す図である。図3において、信号線111と信号線112の配線間隔をピッチ幅と定義し、このピッチ幅が基準より狭い配線ペアを抽出する。
図4は、同一レイヤ上で平行配線長が基準以上である配線ペアの抽出方法を示す図である。図4において、信号線111と信号線112が平行配線となっている距離を平行配線長と定義し、この平行配線長が基準を超える配線ペアを抽出する。
図5は、ピッチ幅が基準より狭いか、または平行配線長が基準以上であるために抽出された配線ペアの中で、信号線111と信号線112の最終段セルが同じ能力を持つ配線ペアの抽出方法を示す図である。図5において、信号線111の最終段セル105と信号線112の最終段セル106は同じ能力を持つ。
図6は、異なるマスクレイヤ上で配線がクロスしている配線ペアの抽出方法を示す図である。図6において、121は上位配線マスクレイヤ、122は下位配線マスクレイヤであり、上位配線マスクレイヤ121で配線された信号線111と下位配線マスクレイヤ122で配線された信号線112がクロスしている場合をクロス配線と定義し、このクロス配線に該当する配線ペアを抽出する。
図7はS007におけるテストポイント挿入位置の選択方法を示す図である。図7においては、図4のように同一レイヤ上で平行配線長が基準以上である配線ペアが抽出された場合に、信号線の平行配線部分の前後131または132のいずれかをテストポイント挿入位置として選択する。図3、図5、図6のような場合は、それぞれの配線ペアの抽出理由で挿入位置が特定されることはない。
図8から図15は、S008において選択される各種のテストポイント挿入回路構成を示す図である。図8から図15において、101から104は図3と同様に構成された内部回路A、内部回路B、内部回路C、内部回路Dである。
さらに、S012におけるテストポイント数の絞込みでは、いずれかの方法で抽出された配線ペアの0−可制御性確率及び1−可制御性確率によりテストポイント挿入回路構成を選択する。
図8における141は、内部回路C、内部回路Dの0−可制御性確率が悪い場合に挿入されるテストポイント用回路ブロックである。また、図9における143は、内部回路Cの1−可制御性確率が悪く、内部回路Dの0−可制御性確率が良い場合に挿入されるテストポイント用回路ブロックである。また、図10における144は、内部回路Cの0−可制御性確率が良く、内部回路Dの0−可制御性確率が悪い場合に挿入されるテストポイント用回路ブロックである。
図11における145は、内部回路C、内部回路Dの0−可制御性確率が悪い場合に挿入されるテストポイント用回路ブロックであり、146は挿入されたテストポイント用回路ブロック145に対して、0/1制御信号を与える0/1生成回路ブロックである。
図12における133は、テストポイント用回路ブロックに0/1制御信号を与える外部端子である。図11で挿入されたテストポイント用回路ブロック145に対して、0/1生成回路ブロック146に代えて、外部端子133から0/1制御信号を与える。
図13における147は、内部回路Cの1−可制御性確率が悪く、内部回路Dの0−可制御性確率が悪い場合に挿入されるテストポイント用回路ブロックであり、AND/OR回路で構成されている。
図14において、107は0−可制御性確率が悪い内部回路Eであり、148は内部回路Eに対して挿入されるテストポイント用回路ブロックであるが、内部回路Cおよび内部回路Dの0−可制御性確率が悪い場合に、テストポイント用回路ブロック148を内部回路Cおよび内部回路Dに流用するように構成している。
図15において、108は内部回路Aと内部回路Bの配線ペアに隣接している信号を生成する内部回路F、109は内部回路Fからの信号を受ける内部回路Gであり、149は内部回路C、内部回路Dの0−可制御性確率が悪い場合に挿入されるテストポイント用回路ブロックであるが、この場合、テストポイント用回路ブロック149を拡張して内部回路Fに流用するように構成している。
図16は、0/1−可制御性確率の算出方法とテストポイント挿入後の可制御性確率の考え方を説明する図である。図16(a)は、回路Aに対して入力信号と出力信号がそれぞれ1となる確率を示している。同様に、図16(b)の回路構成では回路Cの入力信号の1−可制御性確率は1/4となり、回路Cの出力信号の0−可制御性確率は1/64(1−可制御性確率は63/64)となるため、可制御性が低くなる。
この回路の可制御性を向上させるために、図16(C)のようにテストポイントを挿入すると、回路Cの入力信号に対する可制御性が図に示すように改善される。この可制御性確率値を基準にして各回路構成の0/1−可制御性確率の良否を判断することで、テストポイントを効率の良いポイントに挿入することができ、チップ全体の故障検出率を向上させることができる。
図17は、配線ペアX、Yそれぞれの0/1−可制御性確率値に基づく効率的なテストポイント回路構成選択の考え方を示す図である。S012においてテストポイント数の絞込みを実施する際に、図17に示す可制御性条件別に回路選択の優先度を割振り、回路仕様に応じたテストポイント数を絞り込んでいくことにより、仕様に柔軟に対応することができ、最適なチップ設計が可能となる。
図18は、テストポイントを1つのスキャンチェーンとして接続した構成を示す図である。図18において、図3と同様の内部回路101から104による配線ペアが複数あり、それぞれの配線ペアにテストポイント用回路ブロック142が挿入されている。
それぞれのテストポイント用回路ブロック142のフリップフロップは、スキャン入力端子134とスキャン出力端子135の間に、スキャンチェーンを構成するように接続される。このようなスキャンチェーンを、例えば可制御性条件別に設けることで、同じ条件の回路状態を活性化させてテストを実施することができ、故障発生時の早期故障個所の絞込みが可能となる。
以上のように本実施形態によれば、回路情報から0−可制御性確率及び1−可制御性確率を算出することで低故障検出率の要因を抽出し、レイアウト情報から配線ペアを抽出し、これらの情報を用いてS005以降の処理で有効なテストポイントを絞り込むことができるため、テストポイントにテスト回路を挿入した場合のレイアウト設計の負担を軽減することができ、チップサイズの増大を防ぎながら高い故障検出率を得ることができる。
(実施の形態2)
図2は本発明の実施の形態2に係る半導体集積回路のテスト回路挿入方法の処理工程を示すフローチャートである。図2において、図1と同一の処理工程には同一符号を付し、その説明を省略する。
本実施の形態では、テストポイントをスキャンチェーンとして構成し、さらに、テスト結果の不良解析を容易にするために、予め故障モデル情報を入力し、テストポイント挿入では不良解析に有効なテストポイント挿入箇所を絞り込むようにする。そのために、図1のフローチャートに対して、S021、S022、S023の工程を追加している。
図2において、S001の回路情報入力後直ちに、S021で解析対象となる故障モデル情報を入力する。S008までの処理で挿入するテストポイントの回路構成が選択されると、次にS022において、S021で設定した故障モデルに対応したテストポイントを選択する。
S023では、S009で挿入したテストポイントを接続してスキャンチェーンを構築する。その結果、故障モデルに対応したテストポイントで構成されたスキャンチェーンが構成される。その上でS010のレイアウトを実行し、以降の処理を行うことにより不良解析に有効なテストポイント挿入箇所を絞り込む。
ここで図18を参照すると、配線ペアに対応した内部回路Cと内部回路Dの可制御性確率が互いに逆の場合(内部回路Cが0−可制御性×、内部回路Dが1−可制御性×)、配線間ブリッジ故障を対象としたテストポイントとして考えることができる。したがって、この条件に合ったテストポイントをスキャンチェーンとして構成すれば、これをブリッジ故障検出用スキャンチェーンと考えることができる。
以上のように本実施形態によれば、故障モデルに対応したテストポイントで構成されたスキャンチェーンを用い、また、不良解析に有効なテストポイント挿入箇所を絞り込むことで、テスト結果で発生した故障の特定が容易になり、不良解析を容易に実施することができる。
本発明の半導体集積回路のテスト回路挿入方法及び装置は、低故障検出率の要因を抽出し、初期レイアウト結果に基づき故障発生の可能性が高い配線ペアを抽出することで、有効なテストポイント挿入箇所を決定することができるため、テスト回路を挿入した場合のレイアウト設計の負担を軽減することができ、チップサイズの増大を防ぎながら高い故障検出率を得ることができる。また、故障モデルを考慮してテストポイント挿入箇所を決定することができるため、不良解析を容易にすることができるという効果を有し、半導体集積回路のテスト容易化設計技術、特にテスト回路挿入方法及びテスト回路挿入装置等として有用である。
本発明の実施の形態1に係る半導体集積回路のテスト回路挿入方法を示すフローチャート 本発明の実施の形態2に係る半導体集積回路のテスト回路挿入方法を示すフローチャート 配線間隔が基準以上である配線ペアの抽出方法を示す図 同一レイヤ上で平行配線長が基準以上である配線ペアの抽出方法を示す図 最終段セルが同じ能力を持つ配線ペアの抽出方法を示す図 異なるマスクレイヤ上で配線がクロスしている配線ペアの抽出方法を示す図 テストポイント挿入位置の選択方法を示す図 選択される各種のテストポイント挿入回路構成を示す図 選択される各種のテストポイント挿入回路構成を示す図 選択される各種のテストポイント挿入回路構成を示す図 選択される各種のテストポイント挿入回路構成を示す図 選択される各種のテストポイント挿入回路構成を示す図 選択される各種のテストポイント挿入回路構成を示す図 選択される各種のテストポイント挿入回路構成を示す図 選択される各種のテストポイント挿入回路構成を示す図 0/1−可制御性確率の算出方法とテストポイント挿入後の可制御性確率の考え方を説明する図 配線ペアの0/1−可制御性確率値に基づく効率的なテストポイント回路構成選択の考え方を示す図 テストポイントを1つのスキャンチェーンとして接続した構成を示す図 従来の半導体集積回路のテスト回路挿入方法の処理工程を示すフローチャート
符号の説明
S001 回路情報入力工程
S002 0/1−可制御性確率の算出工程
S003 レイアウト実行工程
S004 配線ペアの抽出工程
S005 テストポイント挿入候補抽出工程
S006 テストポイント挿入候補の存在確認工程
S007 テストポイント挿入位置の選択工程
S008 テストポイント挿入回路構成の選択工程
S009 仮想的にテストポイントを挿入する工程
S010 レイアウト実行工程
S011 回路オーバーヘッドの適否判定工程
S012 テストポイント数の絞込み実施工程
S021 故障モデル情報入力工程
S022 故障モデルに対応したテストポイントの選択工程
S023 テストポイントをスキャンチェーンとして接続する工程
S101〜S112 従来のテスト回路挿入方法の処理工程
101 配線ペアとなる一方の信号を生成する内部回路A
102 配線ペアとなる他方の信号を生成する内部回路B
103 配線ペアとなる一方の信号を受ける内部回路C
104 配線ペアとなる他方の信号を受ける内部回路D
105、106 信号線の最終段セル
107 0−可制御性確率が悪い内部回路E
108 配線ペアに隣接し信号を生成する内部回路F
109 配線ペアに隣接し信号を受ける内部回路G
111 配線ペアとなる一方の信号線
112 配線ペアとなる他方の信号線
121 上位配線マスクレイヤ
122 下位配線マスクレイヤ
131、132 テストポイント挿入位置
133 外部端子
134 スキャン入力端子
135 スキャン出力端子
141〜149 テストポイント用回路ブロック

Claims (15)

  1. 回路情報を入力する工程と、前記回路情報から可制御性確率を算出する工程と、前記回路情報により初期レイアウトを実行する工程と、前記初期レイアウトの結果から配線ペアを抽出する工程と、前記可制御性確率と前記配線ペアに基づきテストポイント挿入候補を抽出する工程と、前記テストポイント挿入候補の存在を判定する工程と、前記テストポイント挿入候補が存在した場合にテストポイント挿入位置を選択する工程と、前記テストポイント挿入位置に挿入するテストポイントの回路構成を選択する工程と、仮想的に前記回路構成のテストポイントを挿入する工程と、前記仮想的にテストポイントが挿入された回路に対して再レイアウトを実行する工程と、前記再レイアウトの結果の回路オーバーヘッドが所定範囲内であるかを判断する工程と、前記回路オーバーヘッドが所定範囲を超えた場合にテストポイント数の絞込みを実施する工程と、を備える半導体集積回路のテスト回路挿入方法。
  2. 前記仮想的に前記回路構成のテストポイントを挿入する工程の次に、前記挿入されたテストポイントをスキャンチェーンとして接続する工程を備える請求項1記載の半導体集積回路のテスト回路挿入方法。
  3. 前記回路情報を入力する工程の次に前記回路情報に関する故障モデル情報を入力する工程を備え、
    前記テストポイントの回路構成を選択する工程の次に前記故障モデルに対応したテストポイントを選択する工程を備える請求項1または2記載の半導体集積回路のテスト回路挿入方法。
  4. 前記配線ペアを抽出する工程は、配線間隔が基準以下である配線ペアを抽出する請求項1から3のいずれか一項記載の半導体集積回路のテスト回路挿入方法。
  5. 前記配線ペアを抽出する工程は、同一レイヤ上で平行配線長が基準以上である配線ペアを抽出する請求項1から3のいずれか一項記載のテスト回路挿入方法。
  6. 前記配線ペアを抽出する工程は、前記ピッチ幅が基準以下であるか、または前記平行配線長が基準以上であるために抽出された配線ペアの中で、前記配線ペアの最終段セルが同じ能力を持つ配線ペアを抽出する請求項4または5記載の半導体集積回路のテスト回路挿入方法。
  7. 前記配線ペアを抽出する工程は、異なるマスクレイヤ上で配線がクロスしている配線ペアを抽出する請求項1から3のいずれか一項記載の半導体集積回路のテスト回路挿入方法。
  8. 前記テストポイント挿入位置を選択する工程は、前記平行配線長が基準以上である配線ペアが抽出された場合に、信号線の平行配線部分の前後のいずれかをテストポイント挿入位置として選択する請求項5記載の半導体集積回路のテスト回路挿入方法。
  9. 前記テストポイント挿入回路構成を選択する工程は、前記抽出された配線ペアのいずれかが前記抽出された他の配線ペアに含まれているか否かをチェックする請求項4または5または7記載の半導体集積回路のテスト回路挿入方法。
  10. 前記テストポイント数の絞込みを実施する工程は、配線ペアの可制御性確率に応じてテストポイントの回路構成を選択する請求項4、5または7記載の半導体集積回路のテスト回路挿入方法。
  11. 回路情報を入力する手段と、前記回路情報から可制御性確率を算出する手段と、前記回路情報により初期レイアウトを実行する手段と、前記初期レイアウトの結果から配線ペアを抽出する手段と、前記可制御性確率と前記配線ペアに基づきテストポイント挿入候補を抽出する手段と、前記テストポイント挿入候補の存在を判定する手段と、前記テストポイント挿入候補が存在した場合にテストポイント挿入位置を選択する手段と、前記テストポイント挿入位置に挿入するテストポイントの回路構成を選択する手段と、仮想的に前記回路構成のテストポイントを挿入する手段と、前記仮想的にテストポイントが挿入された回路に対して再レイアウトを実行する手段と、前記再レイアウトの結果の回路オーバーヘッドが所定範囲内であるかを判断する手段と、前記回路オーバーヘッドが所定範囲を超えた場合にテストポイント数の絞込みを実施する手段と、を備える半導体集積回路のテスト回路挿入装置。
  12. 前記仮想的に前記回路構成のテストポイントを挿入した後に、前記挿入されたテストポイントをスキャンチェーンとして接続する手段を備える請求項11記載の半導体集積回路のテスト回路挿入装置。
  13. 前記回路情報を入力した後に前記回路情報に関する故障モデル情報を入力する手段と、前記テストポイントの回路構成を選択した後に前記故障モデルに対応したテストポイントを選択する手段と、を備える請求項11または12記載の半導体集積回路のテスト回路挿入装置。
  14. コンピュータを、入力された回路情報から可制御性確率を算出する手段、前記回路情報により初期レイアウトを実行する手段、前記初期レイアウトの結果から配線ペアを抽出する手段、前記可制御性確率と前記配線ペアに基づきテストポイント挿入候補を抽出する手段、前記テストポイント挿入候補の存在を判定する手段、前記テストポイント挿入候補が存在した場合にテストポイント挿入位置を選択する手段、前記テストポイント挿入位置に挿入するテストポイントの回路構成を選択する手段、仮想的に前記回路構成のテストポイントを挿入する手段、前記仮想的にテストポイントが挿入された回路に対して再レイアウトを実行する手段、前記再レイアウトの結果の回路オーバーヘッドが所定範囲内であるかを判断する手段、前記回路オーバーヘッドが所定範囲を超えた場合にテストポイント数の絞込みを実施する手段として機能させるプログラム。
  15. 請求項14記載のプログラムを記録したコンピュータ読み取り可能な記録媒体。
JP2003371761A 2003-10-31 2003-10-31 半導体集積回路のテスト回路挿入方法及び装置 Pending JP2005135226A (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2003371761A JP2005135226A (ja) 2003-10-31 2003-10-31 半導体集積回路のテスト回路挿入方法及び装置
US10/976,323 US7207019B2 (en) 2003-10-31 2004-10-29 Test circuit inserting method and apparatus for a semiconductor integrated circuit

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2003371761A JP2005135226A (ja) 2003-10-31 2003-10-31 半導体集積回路のテスト回路挿入方法及び装置

Publications (1)

Publication Number Publication Date
JP2005135226A true JP2005135226A (ja) 2005-05-26

Family

ID=34648327

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003371761A Pending JP2005135226A (ja) 2003-10-31 2003-10-31 半導体集積回路のテスト回路挿入方法及び装置

Country Status (2)

Country Link
US (1) US7207019B2 (ja)
JP (1) JP2005135226A (ja)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007122422A (ja) * 2005-10-28 2007-05-17 Nec Electronics Corp 回路設計システム及び回路設計プログラム
US7703056B2 (en) 2006-10-17 2010-04-20 Nec Electronics Corporation Circuit designing program and circuit designing system having function of test point insertion
JP2013130553A (ja) * 2011-12-22 2013-07-04 Fujitsu Ltd 故障解析装置、故障解析方法、および故障解析プログラム
US9201995B2 (en) 2013-04-02 2015-12-01 Socionext Inc. Circuit design support method, computer product, and circuit design support apparatus
KR20220087718A (ko) * 2020-12-18 2022-06-27 연세대학교 산학협력단 테스트 포인트 삽입을 통하여 향상된 검출율을 가지는 고장 검출 방법, 고장 검출 장치 및 가중치 인가 회로
KR20230080061A (ko) * 2021-11-29 2023-06-07 연세대학교 산학협력단 컨트롤 포인트의 구동 제어 방법 및 장치
KR102680120B1 (ko) * 2021-11-29 2024-06-28 연세대학교 산학협력단 컨트롤 포인트의 구동 제어 방법 및 장치

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4561992B2 (ja) * 2005-07-29 2010-10-13 日本電気株式会社 半導体集積回路設計装置および半導体集積回路設計方法
JP2007041670A (ja) * 2005-08-01 2007-02-15 Matsushita Electric Ind Co Ltd 半導体集積回路の設計データ構造、設計装置および設計方法
JP4723987B2 (ja) * 2005-11-29 2011-07-13 株式会社東芝 故障検出率算出装置、故障検出率算出方法及び故障検出方法
JP2011028465A (ja) * 2009-07-24 2011-02-10 Renesas Electronics Corp テストポイント挿入方法
JP2011112434A (ja) * 2009-11-25 2011-06-09 Renesas Electronics Corp 論理回路用テストポイント挿入方法、論理回路試験装置
TW201222299A (en) * 2010-11-17 2012-06-01 Inventec Corp Method of disposing testpoints
CN102479272B (zh) * 2010-11-24 2013-08-21 英业达股份有限公司 设置测试点的方法
US10078720B2 (en) * 2015-03-13 2018-09-18 Taiwan Semiconductor Manufacturing Company Limited Methods and systems for circuit fault diagnosis
US10303839B2 (en) * 2016-06-07 2019-05-28 Kla-Tencor Corporation Electrically relevant placement of metrology targets using design analysis

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5450414A (en) * 1993-05-17 1995-09-12 At&T Corp. Partial-scan built-in self-testing circuit having improved testability
US5748497A (en) * 1994-10-31 1998-05-05 Texas Instruments Incorporated System and method for improving fault coverage of an electric circuit
US5737340A (en) * 1996-07-01 1998-04-07 Mentor Graphics Corporation Multi-phase test point insertion for built-in self test of integrated circuits
KR100499818B1 (ko) * 1997-01-06 2005-11-22 가부시끼가이샤 히다치 세이사꾸쇼 반도체집적회로검사점의해석방법,해석장치
US7036060B2 (en) * 1998-09-22 2006-04-25 Hitachi, Ltd. Semiconductor integrated circuit and its analyzing method
US6301688B1 (en) * 1998-11-24 2001-10-09 Agere Systems Optoelectronics Guardian Corp. Insertion of test points in RTL designs
JP2000250946A (ja) 1999-02-25 2000-09-14 Toshiba Corp Lsi回路のテスト容易化設計方法および装置ならびにテスト容易化設計処理プログラムを記録したコンピュータ読取り可能な記録媒体
JP3937034B2 (ja) * 2000-12-13 2007-06-27 株式会社日立製作所 半導体集積回路のテスト方法及びテストパターン発生回路
US6782515B2 (en) * 2002-01-02 2004-08-24 Cadence Design Systems, Inc. Method for identifying test points to optimize the testing of integrated circuits using a genetic algorithm

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007122422A (ja) * 2005-10-28 2007-05-17 Nec Electronics Corp 回路設計システム及び回路設計プログラム
JP4711801B2 (ja) * 2005-10-28 2011-06-29 ルネサスエレクトロニクス株式会社 回路設計システム及び回路設計プログラム
US7703056B2 (en) 2006-10-17 2010-04-20 Nec Electronics Corporation Circuit designing program and circuit designing system having function of test point insertion
JP2013130553A (ja) * 2011-12-22 2013-07-04 Fujitsu Ltd 故障解析装置、故障解析方法、および故障解析プログラム
US9201995B2 (en) 2013-04-02 2015-12-01 Socionext Inc. Circuit design support method, computer product, and circuit design support apparatus
KR20220087718A (ko) * 2020-12-18 2022-06-27 연세대학교 산학협력단 테스트 포인트 삽입을 통하여 향상된 검출율을 가지는 고장 검출 방법, 고장 검출 장치 및 가중치 인가 회로
KR102450484B1 (ko) 2020-12-18 2022-09-30 연세대학교 산학협력단 테스트 포인트 삽입을 통하여 향상된 검출율을 가지는 고장 검출 방법, 고장 검출 장치 및 가중치 인가 회로
KR20230080061A (ko) * 2021-11-29 2023-06-07 연세대학교 산학협력단 컨트롤 포인트의 구동 제어 방법 및 장치
KR102680120B1 (ko) * 2021-11-29 2024-06-28 연세대학교 산학협력단 컨트롤 포인트의 구동 제어 방법 및 장치

Also Published As

Publication number Publication date
US20050132254A1 (en) 2005-06-16
US7207019B2 (en) 2007-04-17

Similar Documents

Publication Publication Date Title
JP2005135226A (ja) 半導体集積回路のテスト回路挿入方法及び装置
US7308669B2 (en) Use of redundant routes to increase the yield and reliability of a VLSI layout
US20060101367A1 (en) Design method of semiconductor device and semiconductor device
US7162674B2 (en) Apparatus for selecting test patterns for logic circuit, computer implemented method for selecting test patterns, and computer program product for controlling a computer system so as to select test patterns
JP3137056B2 (ja) 故障伝搬経路抽出システム及びその方法並びにその制御プログラムを記録した記録媒体
JP2008134845A (ja) 半導体集積回路のレイアウトデータ作成装置及びレイアウトデータ作成方法、半導体装置の製造方法
JP2008224315A (ja) テストパターン生成装置およびテストパターン生成方法
JP4190748B2 (ja) 半導体不良解析用のcadツール及び半導体不良解析方法
US20100115765A1 (en) Layout verification apparatus, layout apparatus, layout verification method, layout verification program, and wiring forming method
US20060053400A1 (en) Method for correcting layout errors
CN113130342B (zh) 一种晶圆低可靠性失效管芯的标注方法和装置
JP2006190062A (ja) 半導体集積回路のフロアプラン方法および計算機システム
JP3910244B2 (ja) 論理回路検査点の解析方法
US20030200056A1 (en) Semiconductor device analysis system
US20080209367A1 (en) Reliability design method
JP3050306B2 (ja) 回路分割atg部分回路処理方式、回路分割atg部分回路処理方法及びこれが書き込まれた記憶媒体
JP2002297683A (ja) 半導体集積回路のレイアウト設計方法
JP3017131B2 (ja) 半導体集積回路のレイアウト方法
CN111429427B (zh) 检测对象缺陷图案的优先级排序装置、排序方法及存储介质
JP2005107556A (ja) 半導体集積回路の配線処理方法
JP2006004228A (ja) スラック値設定方法,スラック値設定装置,スラック値設定プログラムおよび同プログラムを記録したコンピュータ読取可能な記録媒体
JP2778483B2 (ja) デザインルール検証システム
JPH063420A (ja) 組み合わせ論理回路のテストパタン生成方法
JP2003030264A (ja) Lsiの配置方法及び装置
JP2004185550A (ja) 半導体集積回路のテスト容易化設計方法および装置

Legal Events

Date Code Title Description
RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20060325

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060714

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20071114

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20071121

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20071128

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20071205

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20071212

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090120

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090203

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090401

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090623

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20091117