JP2010520480A - 走査シフト中のピーク電力問題を防止する回路 - Google Patents

走査シフト中のピーク電力問題を防止する回路 Download PDF

Info

Publication number
JP2010520480A
JP2010520480A JP2009552674A JP2009552674A JP2010520480A JP 2010520480 A JP2010520480 A JP 2010520480A JP 2009552674 A JP2009552674 A JP 2009552674A JP 2009552674 A JP2009552674 A JP 2009552674A JP 2010520480 A JP2010520480 A JP 2010520480A
Authority
JP
Japan
Prior art keywords
test clock
signal
clock signal
scan
during
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2009552674A
Other languages
English (en)
Other versions
JP5729906B2 (ja
Inventor
チンソン スル
ホン キム
Original Assignee
シリコン イメージ,インコーポレイテッド
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by シリコン イメージ,インコーポレイテッド filed Critical シリコン イメージ,インコーポレイテッド
Publication of JP2010520480A publication Critical patent/JP2010520480A/ja
Application granted granted Critical
Publication of JP5729906B2 publication Critical patent/JP5729906B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318575Power distribution; Power saving
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3183Generation of test inputs, e.g. test vectors, patterns or sequences
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer
    • G01R31/317Testing of digital circuits
    • G01R31/3181Functional testing
    • G01R31/3185Reconfiguring for testing, e.g. LSSD, partitioning
    • G01R31/318533Reconfiguring for testing, e.g. LSSD, partitioning using scanning techniques, e.g. LSSD, Boundary Scan, JTAG
    • G01R31/318594Timing aspects

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

走査技術を提供する。一部の実施形態では、チップは、第1及び第2の走査チェーンセグメントを含み、その各々は、レジスタと、走査入力期間中に走査入力信号及び捕捉期間中に捕捉出力信号をこのレジスタに供給するマルチプレクサとを含む。チップはまた、第1及び第2の走査チェーンセグメントのレジスタにそれぞれ第1及び第2の試験クロック信号を供給する回路を含み、第2の試験クロック信号は、捕捉期間中のものとは異なる走査入力期間中の回路内の信号経路によって供給され、走査入力期間中に、第2の試験クロック信号は、第1の試験クロック信号に対して歪曲される。他の実施形態も説明して特許請求する。
【選択図】図8

Description

本発明の実施形態は、一般的に走査技術に関する。
走査設計は、デジタル回路のための試験用設計(DFT)に用いられる。走査設計は、試験中のデバイス(DUT)の試験容易性を改善し、試験経費を低減する試験アクセスを提供する。走査設計の例を従来技術の図1に示している。走査設計の目的は、組合せ回路の試験容易性を高める試験アクセスを提供することである。走査設計は、正規の内部レジスタを走査レジスタで置換することができる。走査レジスタは、正規レジスタに外部から直接アクセスすることができるように、走査経路と呼ぶ信号経路を正規レジスタに追加する。走査経路は、走査有効化(SE)信号が、例えば、論理高信号(1と記すことができる)に設定される場合にはアクティブとすることができる。そうでなければ正規経路が選択され、走査レジスタは、正規レジスタとして機能する。走査レジスタのための走査経路は、直列方式で接続され、走査チェーンと呼ぶシフトレジスタが形成される。走査チェーンをロード及びアンロードする時間は、試験時間全体を左右するので、試験時間を短縮するために複数の走査チェーンを並列に存在させることができる。走査チェーンは、組込み自己試験(BIST)のようなオンチップ試験回路によって内部的に、及び/又は外部試験器によってアクセス可能である。
図1を参照すると、集積回路チップ内のアセンブリ10は、組合せ論理18内の回路に結合されたマルチプレクサ20−1…20−N及びレジスタ(フリップフロップのような)22−1…22−Nを含む走査チェーン14を含む。レジスタ22−1…22−Nは、クロック信号CLKによって計時される。最初に、走査経路が選択され(SE=1)、入力テストパターン(SI)が走査チェーン内にシフトされ、走査レジスタが初期化される。レジスタ22−1…22−Nの出力は、論理ゲート30、36、及び40のうちの1つ又はそれよりも多くのような組合せ論理に対して利用可能である。次に、正規の機能経路が選択され、原始入力(PI)が強制される。次に、原始出力(PO)が測定され、期待出力と比較される。ゲート30、36、40、及び/又は42の出力のような組合せ論理のある一定の出力は、マルチプレクサ20−1…20−Nに0入力として供給することができ、これらのマルチプレクサは、SE=0の時、これらの出力をレジスタ22−1…22−Nの入力に供給する。組合せ論理18の試験応答をレジスタ内に捕捉するために、クロック(CLK)パルスが印加される。次に、走査経路が選択され(SE=1)、試験応答(試験ベクトル)がシフト出力(走査出力SO)され、同時に次の入力テストパターンがシフト入力される。得られた試験応答SOは、DUTが良好であるか又は不良であるかを判断するために期待応答と比較される。この処理は、全てのテストパターンが実施されるまで繰り返される。走査入力期間は、SE=1の時であり、捕捉期間は、SE=0の時である。
図2は、図1の走査チェーン内に示している複数のマルチプレクサ及びレジスタを含む走査チェーンセグメント46と、走査チェーンセグメント46の出力を受信し、クロックが低に遷移するまでそれを保持する固定ラッチ48とを示している。固定ラッチは、例えば、クロック期間の半分までクロックスキューを許容するのに用いられる。
走査シフト中のピーク電力問題を従来技術の図3に示しており、図3は、CLK1信号によって計時された1つのチェーン内にレジスタ52−1、52−2、及び52−3を含み、CLK2信号によって計時された別のチェーン内にレジスタ54−1及び54−2を含み、各レジスタは、組合せ論理50に結合されている。(マルチプレクサは、限られた図面スペースの理由から例示していない。)当然ながら、これらのチェーンはより大きい場合がある。走査チェーンがロードされた時には、潜在的に過剰数の遷移が走査レジスタから組合せ論理内に注入される可能性がある。注入されたこれらの遷移は、論理ゲートの出力を切り換えさせて、DUT内により多くの遷移を発生させる可能性がある。
遷移の発生は、供給電圧(VDD)から供給される電力を必要とする。そのような過度の瞬時電力要求は、図4に示しているもののような供給電圧ノイズを引き起こす可能性がある。得られる供給電圧ノイズは、DUTの作動周波数を変化させる場合があり、保持時間違反のようなタイミング問題を引き起こすであろう。得られるタイミング問題は、意図した試験機能を損ない、誤った試験判断を招く場合がある。
この問題に対処するために、図3にあるもののような従来技術のアセンブリは、クロックスキューと呼ぶ異なる位相(異なる時点における)で走査シフトクロックを供給する。例えば、図5では、シフトクロックCLK1、CLK2、…CLKnは、異なる時点での立ち上がりエッジを有する。しかし、従来技術の回路は、クロックスキューを有効に用いていない。
一部の実施形態では、チップは、第1及び第2の走査チェーンセグメントを含み、その各々は、レジスタと、走査入力期間中に走査入力信号及び捕捉期間中に捕捉出力信号をこのレジスタに供給するマルチプレクサとを含む。チップはまた、第1及び第2の走査チェーンセグメントのレジスタにそれぞれ第1及び第2の試験クロック信号を供給する回路を含み、第2の試験クロック信号は、捕捉期間中のものとは異なる走査入力期間中の回路内の信号経路によって供給され、走査入力期間中に、第2の試験クロック信号は、第1の試験クロック信号に対して歪曲される。
一部の実施形態では、チップは、第1及び第2の走査チェーンセグメントを含み、その各々は、レジスタと、走査入力期間中に走査入力信号及び捕捉期間中に捕捉出力信号をこのレジスタに供給するマルチプレクサとを含む。チップはまた、第1及び第2の走査チェーンセグメントのレジスタにそれぞれ第1及び第2の試験クロック信号を供給する回路を含み、第2の試験クロック信号は、走査入力期間中に第1の試験クロック信号に対して歪曲され、第1及び第2の試験クロック信号は、捕捉期間中にそろえられる。
一部の実施形態では、チップは、試験システムに存在する。
一部の実施形態では、方法は、第1の試験クロック回路を通じて第1の試験クロック信号を生成する段階、及び第1の試験クロック回路からの信号を遅延させる段階を含む。本方法はまた、捕捉クロック信号又は第1の試験クロック回路からの遅延信号のいずれかを第2の試験クロック信号として供給することによって第2の試験クロック信号を生成する段階を含む。本方法は、第1の試験クロック信号を第1の走査チェーンセグメントのレジスタに供給する段階、及び第2の試験クロック信号を第2の走査チェーンセグメントのレジスタに供給する段階を更に含む。
他の実施形態も説明して特許請求する。
本発明の実施形態は、以下の説明及び本発明の実施形態を示すために用いる添付図面を参照することによって理解することができるであろう。しかし、本発明は、これらの図面の詳細内容に限定されない。
走査チェーン及び組合せ論理を含む従来技術のアセンブリのブロック図である。 従来技術の走査チェーンセグメント及び固定ラッチのブロック図である。 走査チェーン及び組合せ論理を含む従来技術のアセンブリのブロック図である。 図3のアセンブリに関連する供給電圧ノイズの図である。 従来技術の走査シフトスケジュールの図である。 本発明の一部の実施形態による第1及び第2の試験クロック信号を供給する試験クロック回路のブロック図である。 本発明の一部の実施形態による第1及び第2の試験クロック信号を供給する第1及び第2の試験クロック回路のブロック図である。 本発明の一部の実施形態による第1及び第2の試験クロック信号を供給する第1及び第2の試験クロック回路及びクロック発生回路のブロック図である。 本発明の一部の実施形態による第1及び第2の試験クロック信号を供給する第1及び第2の試験クロック回路及び制御信号ラッチのブロック図である。 本発明の一部の実施形態による走査シフトスケジュールの図である。 本発明の一部の実施形態による第1及び第2の試験クロック信号を供給する第1及び第2の試験クロック回路及び制御信号ラッチのブロック図である。 本発明の一部の実施形態による走査チェーンセグメント及び固定ラッチのブロック図である。 本発明の一部の実施形態による組合せ論理及び試験クロック回路に結合された走査チェーンセグメントのブロック図である。 本発明の一部の実施形態による第1に外部試験器に結合されたチップのブロック図である。 本発明の一部の実施形態による第1に外部試験器に結合されたチップのブロック図である。
図6を参照すると、回路60は、第1及び第2の試験クロック信号TCLK1及びTCLK2を走査チェーンセグメント内のクロックレジスタ(図13に示しているもののような)に供給する。TCLK1信号は、走査入力期間中には走査シフトクロック信号を表し、捕捉期間中には捕捉クロックを表す導体62上のCLK1信号である。TCLK2信号は、遅延値K2(クロックの半分の期間のうちの一部のような何らかの時間量である)を有する遅延回路82を含む試験クロック回路78によって供給される。例示的に、遅延回路82は、クロックバッファ、偶数列のインバータ、又は他の遅延セルを含むことができる。遅延回路82は、導体90上のTCLK1信号を受信する。マルチプレクサ88は、遅延回路82の出力及び導体64上のクロック2信号(CLK2)を受信する。走査入力期間中に走査有効化信号が1(例えば、高)である時には、マルチプレクサ88は、遅延回路82からの出力をTCLK2信号として供給する。従って、遅延回路82の出力を走査シフトクロック(SCLK2)と呼ぶ。捕捉期間中に走査有効化信号が0(例えば、低)の時には、マルチプレクサ88は、CLK2信号をTCLK2信号として供給する。従って、CLK2信号を捕捉クロック信号(CCLK2)と呼ぶ。
遅延回路82によって引き起こされる遅延により、走査入力期間中には、TCLK2はTCLK1に対して歪曲されるが、マルチプレクサ88がCCLK2信号を選択する捕捉期間中には、TCLK1とTCLK2は、CLK1とCLK2がそろえられる限りそろえられる。信号CLK1及びCLK2は、搬送する導体は結合されるので(図8に示しているもの等)、共通信号から発生させることができ、又はこれらの信号は、回路毎に分離することができる。この間、実施に依存して、CLK1とCLK2は、そろえることもそうでない場合もある。更に、図6から分るように、TCLK2は、走査入力期間中には、捕捉期間中の信号経路(導体64からマルチプレクサ88への)とは異なる信号経路(導体62から導体90、遅延回路82、更にマルチプレクサ88への)によって供給される。
図7は、第1及び第2の試験クロック信号TCLK1及びTCLK2を供給する回路74を示している。TCLK1信号は、遅延値K1(クロックの半分の期間のうちの一部のような何らかの時間量である)を有する遅延回路80を含む第1の試験クロック回路76によって供給される。遅延回路80は、導体68上の走査シフトクロック信号(SCLK)を受信する。第2の走査シフトクロック信号(SCLK2)と区別するために、遅延回路80の出力を第1の走査シフトクロック信号(SCLK1)と呼ぶ。マルチプレクサ86は、遅延回路80の出力及び導体66上の第1の捕捉クロック信号(CCLK1)を受信する。第2の試験クロック回路78は、K1と同じもの又は異なるもの(より長い又はより短い)とすることができる遅延K2を有する遅延回路82を含む。遅延回路82は、導体90上の第1の試験クロック回路76からの信号を受信する。図7には2つの例を示している。第1の例として、遅延回路80の出力にあるSCLK1が、導体90上で遅延回路82に供給される。第2の例として(破線で示している)、マルチプレクサ86の出力が、導体90上で遅延回路82に供給される。走査入力期間中に走査有効化信号が1(例えば、高)である時には、マルチプレクサ86は、遅延回路80からのSCLK1を出力においてTCLK1信号として通過させ、マルチプレクサ88は、遅延回路82からのSCLK2を出力においてTCLK2信号として通過させる。捕捉期間中に走査有効化信号が0(例えば、低)である時には、マルチプレクサ86は、CCLK1信号をTCLK2信号として通過させ、マルチプレクサ88は、導体64上のCCLK2信号をTCLK2信号として通過させる。
図7では、走査入力期間中には、TCLK2は、TCLK1に対して歪曲されるが、捕捉期間中には、TCLK1とTCLK2は、CCLK1とCCLK2がそろえられる限りそろえられる。更に、走査入力期間中には、TCLK1及びTCLK2は、捕捉期間中の信号経路(導体66からマルチプレクサ86へ、導体64からマルチプレクサ88への)とは異なる信号経路(導体68から遅延回路80、マルチプレクサ86へ、導体68から遅延回路80、導体90、遅延回路82、マルチプレクサ88への)によって供給される。
図8は、CCLK1、SLK、及びCCLK2信号が、ANDゲート96の出力である導体70上の共通信号CLKから発生することを示していることを除き、図7と同様である。ANDゲート96への入力は、試験モード信号及びクロック発生回路98(位相固定ループ(PLL)、遅延固定ループ(DLL)、又は他の回路とすることができる)からのCLK信号である。本発明の実施形態を実施する様々な他の手法が存在する。例えば、一部の実施形態は、ANDゲート96及び試験モード信号を含まない。
図7は、CCLK1をCCLK2とそろえることができるので、TCLK1は、SE=0(捕捉期間)において平衡化することができることを示している。図8では、CCLK1とCCLK2が平衡化されるように、CCLK1は、CCLK2とそろえられる。
図9及び図11は、プログラマブル遅延を有する試験クロック回路の例を示している。プログラミングは、直列入力から直列出力へと直列に実施することができる。レジスタ116(又は116−1、116−2)の内容に依存して、SE=1の時にSCLK又は遅延SCLKをTCLKとして通過させることができる。TCLK1内に遅延を含めるか又は遅延を迂回させることにより、TCLK2内の遅延(又はクロックスキュー量)を制御することができる。同様のプログラマブル性が各試験クロック内に実施される場合には、本提案の試験クロック構造全体は、走査シフトにおける様々なスケジュールを実施するように非常に柔軟にすることができる。
図9を参照すると、試験クロック回路110は、各々が走査シフトクロック信号(SCLK)を受信する遅延回路120(遅延値m1を有する)及びマルチプレクサ124を含む。マルチプレクサ124は、マルチプレクサ86の入力に対してSCLK信号又は遅延SCLK信号のいずれかを可能にするように、レジスタ(ラッチ、フリップフロップ)116を通じる遅延制御信号からの値によって制御され、マルチプレクサ86は、同様に第1の捕捉クロック信号(CCLK1)を受信し、出力を遅延回路128(遅延値n1を有する)に供給する。遅延制御信号及びマルチプレクサ124は、プログラマブル遅延量を可能にする。試験クロック回路112は、試験クロック回路110からの導体90上の信号を遅延回路128の前又は後のいずれか(又はいずれか他の位置)から受信する遅延回路132(遅延値m2を有する)を含む。破線で示しているように、一部の実施形態では、回路112は、マルチプレクサ124と同様のマルチプレクサ134を含むが、他の実施形態では含まない。マルチプレクサ88は、遅延回路132又はマルチプレクサ134の出力、及び第2の捕捉クロック信号(CCLK2)を受信する。一部の実施形態では、マルチプレクサ134は、フリップフロップ116、又は図9には示していない別のフリップフロップによって制御することができる。走査入力期間においては(走査有効化信号は1である)、マルチプレクサ86は、SCLK又は遅延SCLKを通過させ、マルチプレクサ88は、信号遅延回路132又はマルチプレクサ134の出力を通過させ、この出力は、他の実施選択肢に依存して、更に遅延されたSCLKであるか、又はマルチプレクサ86からのSCLK信号と基本的にそろえられる。捕捉期間においては(走査有効化信号は0)、マルチプレクサ86は、CCLK1を通過させ、マルチプレクサ88はCCLK2を通過させる。遅延回路138(遅延値n2を有する)は、マルチプレクサ134の出力を遅延させる。遅延回路128及び138は、一部の実施形態では含まれない。
一部の実施形態では、遅延値m1+n1は、図7及び図8からのK1と同じであり、m2+n2は、K2と同じであるが、他の実施形態ではそうではない。一部の実施形態では、遅延を実施するクロックバッファの数を低減するために、機能クロック経路又は捕捉クロック経路内のクロックバッファを利用することができる。特別の場合としての一部の実施形態では、m1=m2=0である場合に、本提案の試験クロック回路は、既存のクロックバッファ(既に設計内に存在する)を用いて実施することができ、付加的なバッファは必要ではないが、他の実施形態ではそうではない。
図9では、走査入力期間中に、TCLK2をTCLK1に対して歪曲させることができるが、捕捉期間中には、CCLK1とCCLK2がそろえられる限り、TCLK1とTCLK2はそろえられる。更に、TCLK1及びTCLK2は、走査入力期間中には、捕捉期間中の信号経路とは異なる信号経路で供給される。
図11は、試験クロック回路152が、レジスタ116−1及び116−2を通じて遅延制御信号1及び2によって制御されるマルチプレクサ148によって受信される遅延回路120−1、120−2、及び120−3を含むことを除いて図9と同様である。制御信号1及び2の値に依存して、マルチプレクサ148は、SCLK又はm1、m2、又はm3という遅延レベルを有するSCLKを選択し、それによって図9にあるものよりも高いプログラマブル性が可能になる。試験クロック回路112は、同様の回路を含むことができる。
図6〜図9及び図11では、TCLK1及びTCLK2は、2つの試験クロック領域における信号である。試験クロック回路78によって追加される試験クロック領域は、例えば、設計階層構造内の機能クロック領域又は局所クロック領域に対応させることができる。一部の実施形態では、局所走査シフトクロック、SCLK1、及びSCLK2の組は、例えば、最上位レベルの単一のシフトクロック(SCLK)から導出することができる。一部の実施形態では、各局所クロックは、各試験クロック領域内の走査チェーンセグメントをシフトするのに用いることができる。同時走査シフトを回避するために、各導出局所クロックを歪曲させる望ましい遅延を導入することができる。局所シフトクロック内に挿入された遅延は、直列遅延チェーンを形成するように直列方式で接続することができる。一部の実施形態では、この直列チェーンは、連続走査シフトのスケジュールを実施することができ、ある一定のスケジュール化されたクロック領域の間の非同時走査シフトを保証することができる。
図10は、試験クロックTCLK1、TCLK2、…TCLKnにおける異なる走査シフトクロック信号SCLK1、SCLK2、…SCLKnを示している。SCLK1とSCLK2の間の位相の差は、遅延の増分量(例えば、バッファの増分数)とすることができる。SCLK1とSCLKnの間の遅延は、遅延の合計量(例えば、バッファの合計数)とすることができる。一部の実施形態では、局所シフトクロック経路内での遅延の直列接続は、走査シフトを非同時のものとすることができることを保証することができる。一部の実施形態では、遅延は、次の走査シフトを実施することができる以前の供給電力バス上の小変動を含む遷移の沈静時間によって判断することができる。遅延の導入によって延長される試験時間は、合計試験時間と比較した時に無視することができる。図10では、SCLK1をSCLKとそろえるように示しているが、SCLK2がある位置にSCLK1がきて、SCLK2が更に遅延される等々のようにSCLK1を遅延することができる。
走査シフトをスケジュール化するための意図的な遅延の導入は、走査データが1つの試験クロック領域から他のものへとシフトされる時に保持時間違反を引き起こす可能性がある。保持時間問題を防止するために、図12に示している固定ラッチ164−1のような固定ラッチを走査チェーンセグメント160−1の終端に配置することができる。図12を参照すると、走査チェーンセグメント160−1は、走査入力信号(SI)又は組合せ論理162からの捕捉出力信号をレジスタ(例えば、フリップフロップ)168−1…168−Nに供給するマルチプレクサ166−1…166−Nを含む。レジスタ168−Nからの走査出力信号(SI又は捕捉信号とすることができる)は、固定ラッチ164−1に供給され、固定ラッチ164−1は、それをTCLK1の次の立ち下がりエッジにおいて出力する。一部の実施形態では、固定ラッチは、SCLKクロック期間の半分までクロックスキューを許容するのに役立てることができる。
走査チェーンセグメントを用いて試験クロック回路を実施する様々な手法が存在する。例えば、図13は、走査チェーンセグメント160−1、160−2、160−3、160−4、160−5、及び160−6(論理回路162と通信を行う)、並びに固定ラッチ164−1、164−2、164−3、164−4、164−5、及び164−6に試験クロック信号TCLK1、TCLK2、TCLK3、TCLK4、TCLK5、及びTCLK6を供給する試験クロック回路188−1、188−2、188−3、188−4、188−5、及び188−6を含む回路を示している。付加的なセグメント又は走査チェーンのより少ないセグメントを存在させることができる。試験クロック信号は、上述のように歪曲させることができる。与えられる遅延量は、異なる試験クロック回路において異なるものとすることができる。一部の実施形態では、試験クロック回路188−1及び/又は188−4は、図6の導体62のように単に導体に過ぎない。一部の実施形態では、固定ラッチ164−3のSO出力は、走査チェーンセグメント160−4のSI入力であるが、他の実施形態ではそうではない。走査チェーンセグメントは、図13に示しているもの以外の順序で配置することができる。捕捉クロック信号CCLK1、CCLK2、CCLK3、CCLK4、CCLK5、及びCCLK6は、共通信号から発生させるか(図8にあるように)、又はこれらの信号のうちの2つ又はそれよりも多くを回路毎に分離することができ、更に、これらの信号をそろえるか又はこれらの信号のうちの2つ又はそれよりも多くを不ぞろいにすることができる(従って、これらの信号は平衡化されない)。一部の実施形態では、試験クロック領域は、階層構造モジュール内の局所クロック領域、機能クロック領域、又はいずれかの機能クロック領域の部分クロック領域とすることができる。
一部の実施形態では、本提案の走査構造は、保持時間違反を含む走査シフト中のタイミング問題を試験クロック領域に封じ込める。すなわち、これらの実施形態では、これらのタイミング問題を局所的に解決することができる。これは、階層構造設計環境において有意な利点とすることができ、タイミング問題を設計階層構造内で局所的に解決することができる。
図13は、2つの同時連続走査シフトスケジュールを示すことができる。試験クロック領域1、2、及び3における走査シフトは、領域4、5、及び6と同様に順序付けられ、非同時である。しかし、これらの2つの連続走査シフトスケジュールは、同時のものとすることができる。同時連続走査シフトスケジュールは、連続制約条件を課することによって連続のものとすることができる。例えば、TCLK3が、TCC188−4における入力に(又はTCLK6がTCC188−1に)接続される場合には、2つの同時連続走査シフトスケジュールを単一の連続スケジュールにすることができる。
以下では、一部の実施形態において用いることができるタイミングクロック回路の挿入を概説する。他の技術を他の実施形態において用いることができる。
1.試験クロック領域を作成する。
2.走査シフトに向けて試験クロック領域をスケジュール化する。
3.走査チェーンセグメントの各群において、シフトクロック経路内で必要とされるクロック遅延を判断し(TM=1かつSE=1)、局所領域を判断する。
4.判断されたクロック遅延をシフトクロック経路内に挿入する。
5.SE=0において機能クロック及び走査シフトクロックの両方を平衡化する。
6.(任意的)機能又は捕捉クロックツリーにおいてクロックバッファを利用することにより、挿入された遅延を最適化する。
これらの項目に関して、一部の実施形態に関連して以下の情報を用いることができるが、これらの情報は、他の実施形態では必要としない。最初に、本提案の試験クロック回路(TCC)を挿入することにより、試験クロック領域を作成することができる。TCCの出力は、クロックを試験クロック領域に供給する。試験クロック領域が発生すると、これらの試験クロック領域を走査シフトに向けてスケジュール化することができる。試験クロック領域は、連続して順序付けされた試験クロック領域の組に分割することができる。試験クロック領域の各組は、クロックバッファをシフトクロック経路内に挿入することによって順序付けされる。スケジュール化されたシフトクロックは、非同時走査シフトを保証するために直列方式で接続することができる。遅延挿入の後に得られるクロックツリー回路は、バッファのみがシフトクロック経路内に挿入された図7〜図9及び図11に示すことができる。遅延挿入の後には、機能クロック及び捕捉クロックは、シフトクロックを考慮することなしに平衡化することができる。上述のように、これは、SE=0においてCTSを抑制することによって達成することができる。CTSは、機能クロックツリー又は機能クロック経路を平衡化するクロックツリーバッファを配置する。CTSが完了した状態で、シフトクロック経路内に挿入されたクロックバッファは、図9及び図11に例示しているように、機能クロック経路内で利用可能なクロックバッファで置換することができる。最適化の後のCTSの結果の正当性を保証するのに小増分CTS実行を必要とする場合がある。一部の実施形態では、シフトクロックを平衡化する必要がないので、これらのシフトクロックは、捕捉クロックツリーの合成中には無視することができる。シフトクロックは、クロックツリー合成(CTS)中には無視することができるので、本提案の回路は、CTS及び下層の設計フローを複雑化する必要がない。上述のように、これらの上記詳細事項は、全ての実施形態において必要とされるわけではない。
ピーク電力問題の不適切な取り扱いは、タイミング問題を引き起こす可能性があり、それによって不正な試験判断を招く可能性がある。同じくそれによってシステム・オン・チップ(SOC)デバイスのような大きいシステムチップにおいて電力異常が生じる可能性がある。一部の実施形態では、本提案の解決法は、同時走査シフトを回避することによってピーク電力を低減する。より低いピーク電力を得るために、一部の事例では、捕捉クロックをシフトクロックから分離することができる。更に、ピーク電力低減スケジュールは、シフトクロック経路内で適用される。このスケジュールは、捕捉クロック経路に対する影響を持たない。このスケジュールは、クロックバッファ又は遅延セルを用いて走査シフトクロックを歪曲させることによって実施することができる。また、一部の実施形態では、超高精度の遅延は重要ではなく、同時走査シフトを回避するのに十分大きい遅延を有するいずれかの小さいクロックバッファを用いることができる。しかし、他の実施形態は、特別のクロックバッファを用いる。
図14は、組合せ論理222及び走査チェーン回路224(例えば、図13のものと同様の)を含むチップ214を有するためのシステム210を示している。走査チェーン回路224は、SI信号をテストパターン発生器218から受信し、出力信号を解析回路228に供給する。解析回路228の結果は、チップインタフェース234を通じて外部試験器216に供給することができる。
図15は、組合せ論理222及び走査チェーン回路224を含むチップ252を有するためのシステム250を示している。走査チェーン回路224は、外部試験器256内のテストパターン発生器262からSI信号を受信し、チップインタフェース254を通じて外部試験器216内の解析回路228に出力信号を供給する。
本発明は、いかなる特定の信号伝達技術又はプロトコルにも限定されない。例えば、信号伝達は、シングルエンド又は差動的とすることができる。信号伝達は、2つの電圧レベルのみ、又は2つよりも多くの電圧レベルを含むことができる。信号伝達は、単一データ転送速度、二重データ転送速度、四重データ転送速度、又は8重データなどとすることができる。信号伝達は、符号化記号及び/又はパケット化信号を含むことができる。クロック信号ではなく、ストローブ信号を用いることができる。論理高電圧と言う場合には、回路は、代わりに論理低電圧を用いるように修正することができると考えられ、その逆も同様である。
例示している構成要素の間に中間構造を置くことができる。本明細書に説明又は例示している様々なチップは、例示又は説明していない付加的な入力又は出力を有することができる。図のシステムの実際の実施では、例示していない付加的な回路、制御線、及び場合によっては相互接続部が存在することになる。図が導体を通じて接続される2つのブロックを示している場合には、例示していない中間回路が存在してもよい。本明細書で説明している導体は、連続材料のものである必要はない。例えば、これらの導体は、ビア又は他の接続構造を含むことができる。ブロックの形状及び相対サイズは、実際の形状及び相対サイズに関連することを意図していない。
実施形態は、本発明の実装又は実施例である。本明細書における「実施形態」、「一実施形態」、「一部の実施形態」、又は「他の実施形態」への参照は、これらの実施形態に関連して説明している特定の特徴、構造、又は特性が少なくとも一部の実施形態に含まれるが、必ずしも全ての実施形態に含まれるわけではないことを意味する。「実施形態」、「一実施形態」、又は「一部の実施形態」の様々な出現は、必ずしも全てが同じ実施形態を参照しているわけではない。
要素「A」が要素「B」に結合されると言う場合には、要素Aを要素Bに直接的に結合することができ、又は例えば要素Cを通じて間接的に結合することができる。本明細書又は特許請求の範囲において、構成要素、特徴、構造、処理、又は特性Aが構成要素、特徴、構造、処理、又は特性Bを「引き起こす」と説明している場合には、「A」は、「B」の少なくとも部分的な要因であるが、「B」を引き起こすことに寄与する少なくとも1つの他の構成要素、特徴、構造、処理、又は特性が存在する可能性もあることを意味する。
本明細書において、構成要素、特徴、構造、処理、又は特性が含まれる「場合がある」、「場合があると考えられる」、又は「可能性があると考えられる」と説明した場合には、その特定の構成要素、特徴、構造、処理、又は特性が含まれることを必要としない。本明細書又は特許請求の範囲において、「a」又は「an」要素に言及する場合には、これは、この要素が1つのみ存在することを意味しない。
本発明は、本明細書に説明している特定の詳細内容に限定されない。実際に、以上の説明及び図面の多くの他の変更を本発明の範囲内で行うことができる。従って、本発明の範囲を定めるのは、以上の説明ではなく、あらゆる改訂を含む以下の特許請求の範囲である。
70 導体
96 ANDゲート
98 クロック発生回路

Claims (20)

  1. 各々が、レジスタと、走査入力期間中に走査入力信号及び捕捉期間中に捕捉出力信号を該レジスタに供給するマルチプレクサとを含む第1及び第2の走査チェーンセグメントと、
    第1及び第2の試験クロック信号をそれぞれ前記第1及び第2の走査チェーンセグメントの前記レジスタに供給する回路と、
    を含み、
    前記第2の試験クロック信号は、前記走査入力期間中に前記捕捉期間中のものとは異なる前記回路内の信号経路によって供給され、該走査入力期間中に、該第2の試験クロック信号は、前記第1の試験クロック信号に対して歪曲されている、
    ことを特徴とするチップ。
  2. 前記第1及び第2の試験クロック信号を供給する前記回路は、
    前記走査入力期間中に遅延走査シフトクロック信号を前記第1の試験クロック信号として通し、前記捕捉期間中に第1の捕捉クロック信号を該第1の試験クロック信号として通す第1のマルチプレクサを含む第1の試験クロック回路と、
    前記走査入力期間中に前記第1の試験クロック回路からの遅延信号を前記第2の試験クロック信号として通し、前記捕捉期間中に第2の捕捉クロック信号を該第2の試験クロックとして通す第2のマルチプレクサを含む第2の試験クロック回路と、
    を含む、
    ことを特徴とする請求項1に記載のチップ。
  3. 前記第1及び第2の試験クロック回路は、前記第1及び第2のマルチプレクサの出力部において、前記第1及び第2の試験クロック信号をそれらがそれぞれ前記第1及び第2の走査チェーンセグメントの前記レジスタに供給される前に遅延させる第1及び第2の遅延回路を含むことを特徴とする請求項2に記載のチップ。
  4. 前記走査シフトクロック信号及び前記第1及び第2の信号捕捉クロック信号は、共通信号から発生することを特徴とする請求項2に記載のチップ。
  5. 前記第1及び第2の試験クロック信号を供給する前記回路は、前記走査入力期間中に第2のマルチプレクサの出力信号を該第1の試験クロック信号として通し、前記捕捉期間中に捕捉クロック信号を該第1の試験クロック信号として通す第1のマルチプレクサを含む第1の試験クロック回路を含み、
    前記第2のマルチプレクサの前記出力信号は、制御信号が第1の値を有する時には非遅延走査シフトクロック信号であり、該制御信号が第2の値を有する時には遅延走査シフトクロック信号である、
    ことを特徴とする請求項1に記載のチップ。
  6. 前記制御信号が第3の値を有する時には、前記遅延走査シフトクロック信号は、第1の遅延走査シフトクロック信号であり、前記第2のマルチプレクサの前記出力信号は、第2の遅延走査シフトクロック信号であることを特徴とする請求項5に記載のチップ。
  7. 前記第1及び第2の試験クロック信号を供給する前記回路は、
    前記走査入力期間中に前記第1の試験クロック回路からの遅延信号を通し、前記捕捉期間中に第2の捕捉クロック信号を通すマルチプレクサを含む第2の試験クロック回路と、
    前記第1のマルチプレクサ及び前記第2の試験クロック回路の出力部において、前記第1及び第2の試験クロック信号をそれらがそれぞれ前記第1及び第2の走査チェーンセグメントの前記レジスタに供給される前に遅延させる第1及び第2の遅延回路と、
    を含む、
    ことを特徴とする請求項5に記載のチップ。
  8. 前記第1及び第2の試験クロック信号を供給する前記回路は、
    前記第1の試験クロック信号を供給する導体と、
    第2のクロック信号を受信し、前記第1の試験クロック信号を受信して遅延させ、かつ該第2のクロック信号又は該遅延された第1の試験クロック信号のいずれかを前記第2の試験クロック信号として選択的に供給する試験クロック回路と、
    を含む、
    ことを特徴とする請求項1に記載のチップ。
  9. 前記第1の試験クロック信号及び前記第2のクロック信号は、クロック共通信号から発生することを特徴とする請求項8に記載のチップ。
  10. 付加的な試験クロック信号を付加的な走査チェーンセグメントに供給する付加的な試験クロック回路を更に含み、
    前記第1の走査チェーンセグメントの出力が、前記第2の走査チェーンセグメントの入力に接続される、
    ことを特徴とする請求項1に記載のチップ。
  11. 各々が、レジスタと、走査入力期間中に走査入力信号及び捕捉期間中に捕捉出力信号を該レジスタに供給するマルチプレクサとを含む第1及び第2の走査チェーンセグメントと、
    第1及び第2の試験クロック信号をそれぞれ前記第1及び第2の走査チェーンセグメントの前記レジスタに供給する回路と、
    を含み、
    前記第2の試験クロック信号は、前記走査入力期間中に前記第1の試験クロック信号に対して歪曲され、該第1及び該第2の試験クロック信号は、前記捕捉期間中にそろえられる、
    ことを特徴とするチップ。
  12. 前記第1及び第2の試験クロック信号を供給する前記回路は、
    前記走査入力期間中に遅延走査シフトクロック信号を前記第1の試験クロック信号として通し、前記捕捉期間中に第1の捕捉クロック信号を該第1の試験クロック信号として通す第1のマルチプレクサを含む第1の試験クロック回路と、
    前記走査入力期間中に前記第1の試験クロック回路からの遅延信号を前記第2の試験クロック信号として通し、前記捕捉期間中に第2の捕捉クロック信号を該第2の試験クロックとして通す第2のマルチプレクサを含む第2の試験クロック回路と、
    を含む、
    ことを特徴とする請求項11に記載のチップ。
  13. 前記第1及び第2の試験クロック信号を供給する前記回路は、前記走査入力期間中に第2のマルチプレクサの出力信号を該第1の試験クロック信号として通し、前記捕捉期間中に捕捉クロック信号を該第1の試験クロック信号として通す第1のマルチプレクサを含む第1の試験クロック回路を含み、
    前記第2のマルチプレクサの前記出力信号は、制御信号が第1の値を有する時には非遅延走査シフトクロック信号であり、該制御信号が第2の値を有する時には遅延走査シフトクロック信号である、
    ことを特徴とする請求項11に記載のチップ。
  14. 前記第1及び第2の試験クロック信号を供給する前記回路は、
    前記第1の試験クロック信号を供給する導体と、
    第2のクロック信号を受信し、前記第1の試験クロック信号を受信して遅延させ、かつ該第2のクロック信号又は該遅延された第1の試験クロック信号のいずれかを前記第2の試験クロック信号として選択的に供給する試験クロック回路と、
    を含む、
    ことを特徴とする請求項11に記載のチップ。
  15. 各々が、レジスタと、走査入力期間中に走査入力信号及び捕捉期間中に捕捉出力信号を該レジスタに供給するマルチプレクサとを含む第1及び第2の走査チェーンセグメント、及び
    (1)第1及び第2の試験クロック信号をそれぞれ前記第1及び第2の走査チェーンセグメントの前記レジスタに供給し、該第2の試験クロック信号が前記走査入力期間中に該第1の試験クロック信号に対して歪曲され、該第1及び該第2の試験クロック信号が前記捕捉期間中にそろえられる第1の回路、及び
    (2)第1及び第2の試験クロック信号をそれぞれ前記第1及び第2の走査チェーンセグメントの前記レジスタに供給し、該第2の試験クロック信号が、前記走査入力期間中に前記捕捉期間中のものとは異なる第2の回路内の信号経路によって供給され、該第2の試験クロック信号が、該走査入力期間中に該第1の試験クロック信号に対して歪曲されるような第2の回路、
    である第1及び第2の回路の少なくとも一方、
    を含むチップと、
    前記チップに結合されて前記捕捉出力信号に関連する信号を受信する試験器と、
    を含むことを特徴とするシステム。
  16. 前記チップは、前記走査チェーン入力信号を発生させるテストパターン発生器、及び前記捕捉出力信号を解析する解析回路を含むことを特徴とする請求項15に記載のシステム。
  17. 前記試験器は、前記走査チェーン入力信号を発生させるテストパターン発生器、及び前記捕捉出力信号に関連する前記信号を解析する解析回路を含むことを特徴とする請求項15に記載のシステム。
  18. 第1の試験クロック回路を通じて第1の試験クロック信号を生成する段階と、
    前記第1の試験クロック回路からの信号を遅延させる段階と、
    捕捉クロック信号又は前記第1の試験クロック回路からの前記遅延信号のいずれかを第2の試験クロック信号として供給することによって第2の試験クロック信号を生成する段階と、
    前記第1の試験クロック信号を第1の走査チェーンセグメントのレジスタに供給する段階と、
    前記第2の試験クロック信号を第2の走査チェーンセグメントのレジスタに供給する段階と、
    を含むことを特徴とする方法。
  19. 前記第2の試験クロック信号は、走査入力期間中に前記第1の試験クロック信号に対して歪曲され、該第1及び第2の試験クロック信号は、捕捉期間中にそろえられることを特徴とする請求項18に記載の方法。
  20. 前記第2の試験クロック信号は、前記走査入力期間中に捕捉期間中のものとは異なる第2の試験クロック回路内の信号経路によって供給され、該第2の試験クロック信号は、走査入力期間中に前記第1の試験クロック信号に対して歪曲されることを特徴とする請求項19に記載の方法。
JP2009552674A 2007-03-08 2008-01-02 走査シフト中のピーク電力問題を防止する回路 Expired - Fee Related JP5729906B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/683,608 2007-03-08
US11/683,608 US7831877B2 (en) 2007-03-08 2007-03-08 Circuitry to prevent peak power problems during scan shift
PCT/US2008/000046 WO2008108902A1 (en) 2007-03-08 2008-01-02 Circuitry to prevent peak power problems during scan shift

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2014000591A Division JP2014102254A (ja) 2007-03-08 2014-01-06 走査シフト中のピーク電力問題を防止する回路

Publications (2)

Publication Number Publication Date
JP2010520480A true JP2010520480A (ja) 2010-06-10
JP5729906B2 JP5729906B2 (ja) 2015-06-03

Family

ID=39313485

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2009552674A Expired - Fee Related JP5729906B2 (ja) 2007-03-08 2008-01-02 走査シフト中のピーク電力問題を防止する回路
JP2014000591A Pending JP2014102254A (ja) 2007-03-08 2014-01-06 走査シフト中のピーク電力問題を防止する回路

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2014000591A Pending JP2014102254A (ja) 2007-03-08 2014-01-06 走査シフト中のピーク電力問題を防止する回路

Country Status (8)

Country Link
US (1) US7831877B2 (ja)
EP (1) EP2122378B1 (ja)
JP (2) JP5729906B2 (ja)
KR (1) KR101421479B1 (ja)
CN (1) CN101627314B (ja)
AT (1) ATE528654T1 (ja)
TW (1) TWI361283B (ja)
WO (1) WO2008108902A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013002848A (ja) * 2011-06-13 2013-01-07 Fujitsu Semiconductor Ltd 半導体装置

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5017058B2 (ja) * 2007-10-26 2012-09-05 株式会社日立製作所 半導体集積回路装置
JP2009216619A (ja) * 2008-03-12 2009-09-24 Texas Instr Japan Ltd 半導体集積回路装置
US8020027B1 (en) * 2008-03-17 2011-09-13 Altera Corporation Timing control in a specialized processing block
US7937634B2 (en) * 2009-02-17 2011-05-03 Almukhaizim Sobeeh A Circuit and method providing dynamic scan chain partitioning
US8140923B2 (en) * 2009-04-09 2012-03-20 Lsi Corporation Test circuit and method for testing of infant mortality related defects
US8205125B2 (en) * 2009-10-23 2012-06-19 Texas Instruments Incorporated Enhanced control in scan tests of integrated circuits with partitioned scan chains
TWI416302B (zh) * 2009-11-20 2013-11-21 Ind Tech Res Inst 具電源模式感知之時脈樹及其合成方法
US8627160B2 (en) * 2010-04-21 2014-01-07 Lsi Corporation System and device for reducing instantaneous voltage droop during a scan shift operation
US8918689B2 (en) * 2010-07-19 2014-12-23 Stmicroelectronics International N.V. Circuit for testing integrated circuits
US8762915B1 (en) * 2010-09-17 2014-06-24 Applied Micro Circuits Corporation System and method for integrated circuit die size reduction
TWI416147B (zh) * 2011-03-09 2013-11-21 Global Unichip Corp 於積體電路設計中進行測試時脈域設計的方法及相關的電腦可讀媒體
US8935586B2 (en) 2012-11-08 2015-01-13 International Business Machines Corporation Staggered start of BIST controllers and BIST engines
WO2014108734A1 (en) 2013-01-08 2014-07-17 Freescale Semiconductor, Inc. Method and control device for launch-off-shift at-speed scan testing
US9032356B2 (en) 2013-03-06 2015-05-12 Lsi Corporation Programmable clock spreading
CN104050304B (zh) * 2013-03-14 2017-08-11 北京华大九天软件有限公司 一种无效时钟路径检查的方法
TWI493206B (zh) * 2013-07-30 2015-07-21 Ind Tech Res Inst 積體電路裝置及串列式壓縮掃描訊號產生裝置之測試存取埠狀態機的控制方法
GB2519353A (en) * 2013-10-18 2015-04-22 St Microelectronics Res & Dev Testing method, testing apparatus and circuit for use with scan chains
GB2519752A (en) 2013-10-29 2015-05-06 Ibm Method for performing built-in self-tests and electronic circuit
US9606182B2 (en) 2014-06-16 2017-03-28 Samsung Electronics Co., Ltd. System on chip
US9488692B2 (en) * 2014-08-26 2016-11-08 Apple Inc. Mode based skew to reduce scan instantaneous voltage drop and peak currents
US9618578B2 (en) 2015-03-04 2017-04-11 Nxp Usa, Inc. Semiconductor storage device having synchronous and asynchronous modes
JP6491507B2 (ja) * 2015-03-20 2019-03-27 ルネサスエレクトロニクス株式会社 半導体装置、電子装置および半導体装置の自己診断方法
CN105807206B (zh) * 2016-03-11 2018-08-07 福州瑞芯微电子股份有限公司 一种芯片测试时钟电路及其测试方法
US10539617B2 (en) * 2016-06-02 2020-01-21 Taiwan Semiconductor Manufacturing Co., Ltd. Scan architecture for interconnect testing in 3D integrated circuits
US10001523B2 (en) 2016-08-16 2018-06-19 International Business Machines Corporation Adjusting latency in a scan cell
US10060971B2 (en) 2016-08-16 2018-08-28 International Business Machines Corporation Adjusting latency in a scan cell
TWI760400B (zh) * 2017-12-15 2022-04-11 英業達股份有限公司 以不同掃描鏈測試差分線路之系統及其方法
US10847211B2 (en) * 2018-04-18 2020-11-24 Arm Limited Latch circuitry for memory applications
KR20200087375A (ko) * 2019-01-10 2020-07-21 삼성전자주식회사 논리 회로의 at-speed 테스트를 위한 시스템-온-칩 및 그것의 동작 방법
US11073557B2 (en) * 2019-05-08 2021-07-27 Texas Instruments Incorporated Phase controlled codec block scan of a partitioned circuit device
JP2021038982A (ja) * 2019-09-02 2021-03-11 株式会社東芝 半導体装置
CN112217498B (zh) * 2020-09-24 2023-04-14 联暻半导体(山东)有限公司 一种多位脉冲锁存器电路
CN112290932B (zh) * 2020-09-30 2022-09-06 上海兆芯集成电路有限公司 电路及其测试电路
CN114660445A (zh) 2020-12-23 2022-06-24 恩智浦美国有限公司 具有嵌入式存储器模块的集成电路
US11835991B2 (en) 2021-03-22 2023-12-05 Stmicroelectronics International N.V. Self-test controller, and associated method
US11604221B1 (en) * 2021-12-30 2023-03-14 Texas Instruments Incorporated Clock shaper circuit for transition fault testing

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5663966A (en) * 1996-07-24 1997-09-02 International Business Machines Corporation System and method for minimizing simultaneous switching during scan-based testing
JPH11166959A (ja) * 1997-12-03 1999-06-22 Sony Corp スキャンパス回路
JP2000266818A (ja) * 1999-03-17 2000-09-29 Oki Electric Ind Co Ltd スキャン・テスト回路
JP2001289908A (ja) * 2000-03-09 2001-10-19 Texas Instr Inc <Ti> 低電力動作への走査bistアーキテクチャの適合方法および走査bist試験構成
JP2003240822A (ja) * 2002-02-14 2003-08-27 Matsushita Electric Ind Co Ltd 半導体集積回路の設計方法及びテスト方法
JP2006105891A (ja) * 2004-10-08 2006-04-20 Univ Of Tokyo 集積回路およびそのテスト方法ならびに集積回路装置
US20060129900A1 (en) * 2004-12-13 2006-06-15 Lsi Logic Corporation Scan chain partition for reducing power in shift mode

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0772221A (ja) * 1993-08-31 1995-03-17 Ando Electric Co Ltd スキュー調整回路
US5831459A (en) * 1995-11-13 1998-11-03 International Business Machines Corporation Method and system for adjusting a clock signal within electronic circuitry
US5717700A (en) * 1995-12-04 1998-02-10 Motorola, Inc. Method for creating a high speed scan-interconnected set of flip-flop elements in an integrated circuit to enable faster scan-based testing
US6966021B2 (en) * 1998-06-16 2005-11-15 Janusz Rajski Method and apparatus for at-speed testing of digital circuits
US6694467B2 (en) * 1999-06-24 2004-02-17 Texas Instruments Incorporated Low power testing of very large circuits
US6070260A (en) * 1998-09-17 2000-05-30 Xilinx, Inc. Test methodology based on multiple skewed scan clocks
US6745357B2 (en) * 1998-10-27 2004-06-01 Intrinsity, Inc. Dynamic logic scan gate method and apparatus
US6769080B2 (en) * 2000-03-09 2004-07-27 Texas Instruments Incorporated Scan circuit low power adapter with counter
US6954887B2 (en) * 2001-03-22 2005-10-11 Syntest Technologies, Inc. Multiple-capture DFT system for scan-based integrated circuits
US6880137B1 (en) * 2001-08-03 2005-04-12 Inovys Dynamically reconfigurable precision signal delay test system for automatic test equipment
US6877123B2 (en) * 2001-12-19 2005-04-05 Freescale Semiconductors, Inc. Scan clock circuit and method therefor
DE60309761T2 (de) * 2002-02-11 2007-10-11 Texas Instruments Inc., Dallas Methode und Vorrichtung zum Testen von Hochgeschwindigkeits-Verbindungsschaltungen
US6861867B2 (en) * 2002-03-07 2005-03-01 Lightspeed Semiconductor Corporation Method and apparatus for built-in self-test of logic circuits with multiple clock domains
US7249298B2 (en) * 2002-04-30 2007-07-24 Samsung Electronics Co., Ltd. Multiple scan chains with pin sharing
US6964002B2 (en) * 2002-10-30 2005-11-08 Lsi Logic Corporation Scan chain design using skewed clocks
US7188286B2 (en) * 2003-01-24 2007-03-06 On-Chip Technologies, Inc. Accelerated scan circuitry and method for reducing scan test data volume and execution time
JP4130417B2 (ja) * 2004-02-27 2008-08-06 株式会社東芝 半導体集積回路及びその試験方法
US7298188B2 (en) * 2004-04-30 2007-11-20 Fujitsu Limited Timing adjustment circuit and memory controller
DE102005020903B3 (de) * 2005-05-07 2006-11-09 Infineon Technologies Ag Steuerbare Verzögerungseinrichtung
US7279950B2 (en) * 2005-09-27 2007-10-09 International Business Machines Corporation Method and system for high frequency clock signal gating

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5663966A (en) * 1996-07-24 1997-09-02 International Business Machines Corporation System and method for minimizing simultaneous switching during scan-based testing
JPH11166959A (ja) * 1997-12-03 1999-06-22 Sony Corp スキャンパス回路
JP2000266818A (ja) * 1999-03-17 2000-09-29 Oki Electric Ind Co Ltd スキャン・テスト回路
JP2001289908A (ja) * 2000-03-09 2001-10-19 Texas Instr Inc <Ti> 低電力動作への走査bistアーキテクチャの適合方法および走査bist試験構成
JP2003240822A (ja) * 2002-02-14 2003-08-27 Matsushita Electric Ind Co Ltd 半導体集積回路の設計方法及びテスト方法
JP2006105891A (ja) * 2004-10-08 2006-04-20 Univ Of Tokyo 集積回路およびそのテスト方法ならびに集積回路装置
US20060129900A1 (en) * 2004-12-13 2006-06-15 Lsi Logic Corporation Scan chain partition for reducing power in shift mode

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013002848A (ja) * 2011-06-13 2013-01-07 Fujitsu Semiconductor Ltd 半導体装置

Also Published As

Publication number Publication date
KR101421479B1 (ko) 2014-07-22
WO2008108902A1 (en) 2008-09-12
CN101627314A (zh) 2010-01-13
JP2014102254A (ja) 2014-06-05
JP5729906B2 (ja) 2015-06-03
KR20090126295A (ko) 2009-12-08
EP2122378B1 (en) 2011-10-12
US7831877B2 (en) 2010-11-09
US20080222471A1 (en) 2008-09-11
TWI361283B (en) 2012-04-01
ATE528654T1 (de) 2011-10-15
CN101627314B (zh) 2012-10-03
EP2122378A1 (en) 2009-11-25
TW200842382A (en) 2008-11-01

Similar Documents

Publication Publication Date Title
JP5729906B2 (ja) 走査シフト中のピーク電力問題を防止する回路
US7843218B1 (en) Data latch with structural hold
US7710801B2 (en) Circuitry and method for an at-speed scan test
US7624322B2 (en) Scan based testing of an integrated circuit containing circuit portions operable in different clock domains during functional mode
US6763489B2 (en) Method for scan testing of digital circuit, digital circuit for use therewith and program product for incorporating test methodology into circuit description
US8065549B2 (en) Scan-based integrated circuit having clock frequency divider
US8013637B2 (en) Clock signal selection circuit
US20130219238A1 (en) Integrated circuit having clock gating circuitry responsive to scan shift control signal
US9401223B2 (en) At-speed test of memory arrays using scan
US8775857B2 (en) Sequential on-chip clock controller with dynamic bypass for multi-clock domain testing
CN110390968B (zh) 用于存储器应用的锁存电路
WO2006132329A1 (ja) マイクロコンピュータ及びそのテスト方法
US9891279B2 (en) Managing IR drop
US20070011524A1 (en) Scan test circuit and method of arranging the same
US8448008B2 (en) High speed clock control
US9666301B2 (en) Scannable memories with robust clocking methodology to prevent inadvertent reads or writes
US20070022312A1 (en) Clock generation circuit
US7392406B2 (en) Circuit and method for generating clock signals for clocking digital signal processor and memory
TW202226251A (zh) 用於橫列可掃描閂鎖陣列的方法和電路
US6748563B1 (en) Method and apparatus for testing path delays in a high-speed boundary scan implementation
JP3869387B2 (ja) 半導体集積回路
Nemati et al. Asynchronous test hardware for null convention logic
Lee et al. Design for Test
JPH07248904A (ja) 線型帰還シフトレジスタおよび半導体集積回路装置
JP2004037264A (ja) スキャン機能付きフリップフロップ回路およびスキャンテスト回路

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20101227

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120910

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20121207

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20121214

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130110

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130212

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20130508

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20130515

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130812

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130902

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140106

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20140219

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20140224

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20140305

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20140305

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20140502

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150224

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20150407

R150 Certificate of patent or registration of utility model

Ref document number: 5729906

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees