JP2009532887A - パターン化ビームの総合変調を持つ粒子ビーム露光装置 - Google Patents

パターン化ビームの総合変調を持つ粒子ビーム露光装置 Download PDF

Info

Publication number
JP2009532887A
JP2009532887A JP2009503366A JP2009503366A JP2009532887A JP 2009532887 A JP2009532887 A JP 2009532887A JP 2009503366 A JP2009503366 A JP 2009503366A JP 2009503366 A JP2009503366 A JP 2009503366A JP 2009532887 A JP2009532887 A JP 2009532887A
Authority
JP
Japan
Prior art keywords
aperture
pattern
common
illumination
target
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2009503366A
Other languages
English (en)
Other versions
JP4995261B2 (ja
Inventor
プラッツグマー、エルマー
Original Assignee
イーエムエス ナノファブリカツィオン アーゲー
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by イーエムエス ナノファブリカツィオン アーゲー filed Critical イーエムエス ナノファブリカツィオン アーゲー
Publication of JP2009532887A publication Critical patent/JP2009532887A/ja
Application granted granted Critical
Publication of JP4995261B2 publication Critical patent/JP4995261B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/04Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
    • H01J37/045Beam blanking or chopping, i.e. arrangements for momentarily interrupting exposure to the discharge
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • H01J37/3175Projection methods, i.e. transfer substantially complete pattern to substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • H01J37/317Electron-beam or ion-beam tubes for localised treatment of objects for changing properties of the objects or for applying thin layers thereon, e.g. for ion implantation
    • H01J37/3174Particle-beam lithography, e.g. electron beam lithography
    • H01J37/3177Multi-beam, e.g. fly's eye, comb probe
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/04Means for controlling the discharge
    • H01J2237/043Beam blanking
    • H01J2237/0435Multi-aperture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/15Means for deflecting or directing discharge
    • H01J2237/1506Tilting or rocking beam around an axis substantially at an angle to optical axis
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31761Patterning strategy
    • H01J2237/31766Continuous moving of wafer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31774Multi-beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale
    • H01J2237/3175Lithography
    • H01J2237/31777Lithography by projection

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Nanotechnology (AREA)
  • Analytical Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Electron Beam Exposure (AREA)

Abstract

電荷を帯びた粒子のビームでターゲット(41)を露光するための荷電粒子露光装置(100)は、照明システム(101)は、パターン画定手段に対する照明ビームの入射の方向を変動させるように構成された偏向手段(401)を含み、パターン画定手段(20)は照明ビームの形状を所望のパターンにし、投影光学システム(103)は、パターン画定手段で画定されたビーム形状の画像をターゲット(41)上に投影し、投影光学システムは、例えばパターン画定手段にパターンを読み込むプロセス中にブランクアウトするために、すなわちビームレットをその非偏向経路から充分な角度だけ傾けるように偏向手段(401)が作動したときに、開口を有しかつ前記開口の外側を通るビームの通過を阻止するように構成されたブロッキングアパーチャ手段(204)を含む。
【選択図】図4

Description

技術分野および背景技術
本発明は、電荷を帯びた粒子のビームによりターゲットを露光させるための荷電粒子露光装置の改善に関する。
さらに詳しくは、本発明は、電荷を帯びた粒子のビームによりターゲットを露光させるための荷電粒子露光装置であって、前記粒子ビームが平行なビーム路に沿ってターゲットに向かって伝搬し、前記装置が照明システム、パターン画定手段、および投影光学システムを含み、照明システムがビームを生成し、それを略テレセントリックビームにしてパターン画定手段を照明するように働き、パターン画定手段が照明ビームの形状を所望のパターンにし、投影光学システムがパターン画定手段で画定されたビーム形状の画像をターゲット上に投影するように働く、荷電粒子露光装置に関する。パターン画定手段は、照明ビームが複数のアパーチャだけを通過することを可能にして、前記アパーチャを透過するビームレットの形状を画定することによって、照明ビームの断面を複数のビームレットに整形するように構成された複数のアパーチャを含み、パターン画定手段はさらに、それぞれのビームレットをそれぞれの公称結像経路から選択的に逸らすように構成された複数の個別ビームレット偏向器(「マイクロ偏向器」)を有するブランキング手段をさらに含む。
換言すると、粒子ビームは照明システムによって生成され、それは、ターゲット表面に投影されるビームパターンを画定するアパーチャのアレイを有するパターン画定(PD)手段を照明する。各ビームのアパーチャを介した通過は、ビームの粒子がそれぞれのアパーチャを介してターゲット上へ通過するのを許可する(「スイッチオン」)か、または事実上無効にする(「スイッチオフ」)ように、対応するマイクロ偏向器によって制御することができる。これは、例えば吸収表面を設け、そこに「スイッチオフ」ビームレットを差し向けて吸収させることによって、行なわれる。非偏向(「スイッチオン」)ビームレットだけが最終的にターゲットに到達することができる。アパーチャアレイを透過するビームは、アパーチャの空間配置によって表わされるパターン情報を担持する、パターン化粒子ビーム(本書でビームレットと呼ぶ、横方向に制限された複数の小さいビームから構成される)を形成する。次いでパターン化ビームは、粒子光学投影システムによってターゲット(例えば半導体基板)に投影され、こうしてそこにアパーチャの画像が形成され、ターゲットの照射部が改質される。
この種の処理装置の1つの重要な利用分野として、特に100nm未満の特徴サイズを有するナノスケールデバイスの製造または機能化のために使用される、直接イオンビーム材料改質またはイオンビーム誘起エッチングおよび/もしくは堆積による、ナノスケールパターン形成の分野がある。この種の処理装置の別の重要な利用分野として、半導体技術でリソグラフィ装置として使用される粒子ビームリソグラフィの分野があり、そこでは、基板表面に所望のパターンを画成するために、ウェハが1層の放射線感応フォトレジストで被覆され、所望の構造がリソグラフィ装置によってフォトレジスト上に結像され、それは次いで事前の露光ステップによって画成されたパターンに従って、部分的除去によってパターン形成され、次いでさらなる構造化プロセス、例えばエッチングのためのマスクとして使用される。
荷電粒子ビーム投影による直接パターン転写にアドレス指定可能なアパーチャプレートを使用する潜在的可能性が、10年以上にわたって研究されている。1つの初期の考察はB.LischkeらによるMicroelectronic Engineering 9,1989,pp.199〜203に提示されている。Fuekiらによる米国特許第5,144,142号は、本発明のPD手段の役割を果たす、いわゆるブランキングアパーチャアレイ(BAA)を使用する、電子ビーム露光システムを記載している。BAAは複数のアパーチャ列を担持し、アパーチャの像は、アパーチャ列に直角な方向に制御された連続動作で、基板の表面全体にわたって走査される。その後、1997年に、I.L.Berryらは、J.Vac.Sci.Technol.B,15(6),1997,pp.2382〜2386に、BAAおよびイオン投影システムに基づく描画戦略を提示した。
Microelectronic Engineering 9,1989,pp.199〜203 米国特許第5,144,142号 J.Vac.Sci.Technol.B,15(6),1997,pp.2382〜2386
Araiらによる米国特許第5,369,282号は、単純なm×nの矩形アレイに配列されないアパーチャを有するBAAを使用する電子ビーム露光システムを論じている。むしろ、アパーチャが走査方向に沿って見たときに食違いラインを形成するように、列は相互に対してインターレース状に整列される。こうして食違いラインは、それらが基板に対して移動するときに、基板表面上にそれらの間にギャップを残すことなく、連続線を形成し、こうして基板上で露光すべき全領域を網羅する。米国特許第5,369,282号では、アパーチャは1列おきに整列し、列内の隣接するアパーチャ間のピッチは、アパーチャの幅の2倍である。一般的に、任意の数nに基づく列のアラインメントが可能であり、その場合、ピッチはアパーチャの幅のn倍になる。Yasudaらによる米国特許第5,430,304号、米国特許第5,359,202号、および米国特許第5,260,579号は、基板にパターンを露光するために同様のBAAを使用するが、ブランキングアパーチャの各々に対して制御線を供給する必要性は、内部構造を非常に複雑にし、かつクロストークおよび走行時間遅延のような望ましくない妨害効果を引き起こす傾向がある。
米国特許第5,369,282号 米国特許第5,430,304号 米国特許第5,359,202号 米国特許第5,260,579号
I.L.Berryらの上記論文は、辺長が5μmの3000×3000のアパーチャのアレイで、n=4の列および食違いラインのアラインメントを持つ、「プログラム可能なアパーチャアレイ」を含むPD装置を記載している。アパーチャアレイは追加的な論理回路構成を含み、こうして、パターン情報がシフトレジスタを用いて列内の1つのアパーチャから次のアパーチャに渡される、電子マスク走査システムを実現する。該論文は、BAAのアパーチャを基板上に結像するために、1/200の縮小イオン‐光学システムを使用することを提案している。
Berryの概念からスタートして、E.Platzgummerらは米国特許第6,768,125号(=GB2389454A)で、相互に上下に重ねられた複数のプレートを含み、それらの中にアパーチャアレイ手段(アパーチャプレート)およびブランキング手段(ブランキングプレート)を含むPD装置を使用する、PML2(「投影マスクレスリソグラフィ#2」の略語)と呼ばれるマルチビーム直接描画の概念を提示している。これらの分離プレートは、定められた距離を置いて、例えばケーシング内に一緒に取り付けられる。アパーチャアレイ手段は、前記アパーチャを透過するビームレットの形状を画定する、同一形状の複数のアパーチャを有し、アパーチャは、アパーチャの複数の食違いラインから構成されるPDフィールド内に配列され、アパーチャは前記ライン内で、アパーチャの幅の第1整数倍だけ間隔を置いて配置され、かつ隣接するライン間で前記幅の整数倍の少数倍だけ偏位する。ブランキング手段は、アパーチャアレイ手段のアパーチャに対応する配列状態に配列された複数のブランキング開口を有し、特に対応する食違いライン状のブランキング開口を有する。
米国特許第6,768,125号
PML2の概念によると、ビームによって形成される画像は、各ダイフィールド全体を直線経路に沿って連続的に移動する。走査方向に直角な方向のビームの追加的走査は不要である(走査ステージの側方行程運動誤差を補償するために必要な場合を除く)。さらに、列状に配置されたアパーチャのその後の露光によって、グレイスケールを生成することができるので、シフトレジスタ手法を効果的に適用して、基板だけを動かしながら、(予め定められたサイズ、例えば5ビット以上の)グレイスケールパターンを形成することができる。PML2の概念は、アパーチャに隣接して中間位置または近傍のいずれかに配置されたアパーチャプレート上の大量のメモリの使用を伴う。
アドレス指定可能なマスクの実現のための鍵は、ビームスイッチングおよびデータ管理を制御するために必要な電子機器と共に、数十万から数百万個までのアパーチャのモノリシック製造を可能にする、いわゆる微小電気および微小機械システム(MEMS)技術である。工業用MEMSデバイスの最小特徴サイズは、リソグラフィの典型的な限界寸法(例えばアパーチャおよびマイクロ偏向器のサイズ)より約100から200倍大きいので、例えばマスクレスリソグラフィのようなフィールド高速描画用途に先進MEMS製造技術を利用するには、強力な大フィールドの高分解能光学投影システムが必須である。
PLM2に内在するマルチビーム手法の主な利点は、荷電粒子ビームが、スイッチング可能なブランキング装置を含むアパーチャプレートによって動的に構造化される、複数のサブビームを含むので、シングルビーム描画装置と比較して、描画速度が大きく向上することである。(AraiらおよびYasudaらのような他の先行技術と比べて)生産性の改善は、主に次の特徴から生じる。
* 基板と平行に方向付けられるサブビームの可能な数、および面積当たりのアパーチャの可能な密度が著しく増大し、結果的に粒子源の要求事項が緩和される。
+ シングルビームブランキングが連続データストリームおよび簡易データラインアーキテクチャによって達成され、1クロックサイクル毎に1アパーチャ列だけ(=ライン数×1アパーチャ)がPDフィールドに供給され、信号はシフトレジスタによってPDフィールドを移動する。
* 広幅ビームを使用する結果、ビーム電流が大きい断面に分配されるので、空間変化の影響が低減される。
* 所望の露光量を蓄積するように多数の分画露光(列状のアパーチャ)を用いて、高い冗長度が生成され、それによりシングルパス走査中にグレイスケールの生成が可能になる。
しかし、PLM2のレイアウトでは、他の先行技術の場合と同様に、次の主要な問題が生じる。
・ PML2または同等の先行技術の露光戦略に内在する、ビームと基板との間のグローバルな運動のため、ビームレットのスイッチングに関する遅延時間は自動的に、マルチアパーチャプレート上のそれぞれのアパーチャの位置に関係なく、ビームレットの事実上の配置誤差を導く。PML2のいずれの実現においても、<0.1nsの範囲内の全てのビームレットの要求されるタイミング精度を達成することは非常に難しい。そのような事実上の配置誤差は、画像内にボケに対する追加的な(非等方性)寄与として、または基板に結像されるパターンの一部分の歪みとして現れるかもしれない。
・ たとえスイッチングのタイミングが「完全」になるように構成されたとしても、信号エッジが有限勾配を持つことは避けられず、特に有限時間の「オン」と「オフ」のスイッチングが生じ、その間、偏向電極(パターン画定手段の一部)の静電位の状態が正確に画定されない。数ある効果の中でも、信号の立上りおよび立下りエッジは、CMOS電子機器の容量およびMEMS構成から結果的に生じ、偏向電極およびその周辺要素の誘電体の選択のようなパラメータによって異なる。スイッチング事象中のビームの非画定状態は、望ましくない背景ドーズまたはそれぞれのビームレットのドリフトを導くかもしれないので、最新技術の概念では、信号の実効立上りおよび立下り時間を非常に小さく維持しなければならない(例えば、1クロック信号毎にビームレットが「オン」になる場合、総時間の<1%)。スイッチング速度(P=I2・R)および膜状マルチアパーチャプレートにおけるCMOS構造の限定された熱伝導率に関連するCMOS電子機器の電力消費の増大を考慮して、この要件は、現実的なスループット率に対して小さすぎるように思われる低いトグルレートでのみ満たすことができる。
・ ビームレットのスイッチングに関係するデータ再読込みプロセスのため、パターン画定装置を通過するビームに干渉する電磁障害が発生することがある。信号エッジ時間が小さければ小さいほど、再読込みによる障害が大きくなる。
‐ PML2または他の先行技術で発生する別の問題は、グレイレベルドーズ制御が主として、パターン画定装置を制御するデータストリームのプログラミングによって達成されることである。グレイレベルドーズの許容誤差は一般的に、限界寸法要件を満たすために、公称ドーズの1%より小さい。堆積ドーズの変化、例えばソースの明るさの数パーセントの突然の増加、後方散乱もしくはかぶりのような2次ドーズの変化、またはコントラスト挙動(=感受性)の変化は、実時間で考慮する必要がある。露光前にデータの作成が1回行なわれ、先行技術の場合のように総ドーズの動的制御が不可能である場合、そのような効果は、(リソグラフィック)パターンの限界寸法および配置の誤差を生じる。
・ 別の重大な問題は、ステージ位置(または基板に対するビームの相対位置)とパターン画定装置の信号タイミングとの間の必須の同期化である。一方の他方に対する遅延は、パターン画定装置の投影される画像が走査動作に沿って歪み、配置設計グリッドおよび以前の層に対して位置ずれする(オーバレイエラー)などの有害な影響を生じる。したがって、先行技術では、ステージ速度に適合するようにトグルレートを調整するか、またはその逆に、トグルレートに適合するようにステージ速度を調整するかのいずれかを行なわなければならず、どちらもそれぞれの制御パラメータの非常に高精度かつ高速の制御を必要とする。特に、緩慢なステージの速度が完全には安定しない段階で、例えば方向転換事象付近で、同期要件は緊要である。
・ PML2または他の先行技術で発生する別の問題は、PML2独自の走査ストライプ露光戦略のため、基板に移送されるドーズがトグルレートに比例することである。したがって、ステージ速度を低下しなければならない方向転換事象付近で、これらの領域のグレイレベルを調整しなければならないか、またはより長い走査経路を選択することによって追加の走査時間を受け入れなければならないかのいずれかである。前者は、データ作成とスキャナの機械的性質との間の望ましくない依存性を意味し、後者は、機械的スキャナシステムの達成可能な加速およびジャークによっては、著しいスループットの低下を引き起こす。
・ PML2または他の先行技術の別の問題は、熱管理に関する。(例えばパターン画定装置の一部としてマイクロ偏向器によって発生する)偏向ビームレットが停止する位置には、非常に高いパワー密度が発生する。任意の露光では、ビームレットの一般的に50%がパターン画定装置によってブランキングされ、表面への持続的な著しい熱伝達を引き起こし、ブランクアウトされたビーム部分は該表面に吸収される。一般的に、通路から中心開口を介してブランクアウトされたビーム部分を吸収するように構成された表面によって包囲される、小さい中心開口を有する吸収プレートは、ビームのクロスオーバ付近に位置し、そこの電流密度は非常に高い。したがって、ビーム電流が高くなるにつれて、吸収面は、局所的過熱の結果、表面融解を起こす傾向がある。
本発明の目的は、上記の問題を克服することである。この目的は、冒頭に記載した型の荷電粒子露光装置であって、照明システムが、パターン画定手段に対する照明ビームの入射方向を主入射軸(それは通常、リソグラフィ装置または詳しくは証明システムの光軸であるが、別の一般的に適切な方向とすることができる)から変化させるように構成された、「共通」偏向手段をさらに含み、かつ投影光学システムが、開口を有し、前記開口の外側を横切るビームの通過を阻止するように構成されたブロッキングアパーチャ手段を含んで成る、荷電粒子露光装置によって満たされる。
照明ビームの衝突の角度の調整のおかげで、いつでも必要な場合にビーム全体をブランクアウトするための簡単な解決策を提供するだけでなく、次のような他の改善ももたらすことが可能である。
* (スイッチングおよび急速に移動する基板の位置に対する)個別ビームレットの包括的なタイミングの精度が改善される。
* 可変照明状態で(ソースの電流は時間と共に数パーセントずつ変化する一方、ステージは常時同じ(一定)速度で動く)、基板に移送される面積当たりの露光ドーズが可変制御される(パルス幅変調による「ドーズ平準化」)。
* ブランキングアパーチャプレート内部の可変静電界または磁界のため、ビーム(またはビームレット)に対する妨害効果が回避される。
+ ブランキングアパーチャプレート内部のスイッチング事象中の容量性または誘導性遅延のため、信号の立上り時間および信号の立下り時間に対する耐性が増大される。
* 吸収プレートの位置で発生する熱負荷が(のみならず、イオンの場合にはスパッタ効果も)緩和され、熱はより大きい領域に分散される。
* ビーム強度および走査速度(テーブル速度)の変化を補正し、特にウェハ領域の縁部におけるステージ移動の方向展開段階中の加速/減速を補正することができる。
PDシステムの前に共通偏向器を配置し、かつ投影システムの対物面の位置またはその付近にPDシステムを配置する理由は、最初に、共通偏向器を付勢することにより、照明ビームの傾斜だけが引き起こされ、ウェハに投影される画像のドリフトは生じず、全ビームが中心から変位され、最終的に、PDシステムと基板との間のクロスオーバ位置またはその付近でブロッキングアパーチャ手段によって遮断されることである。
好ましくは、偏向手段は、主入射(または光)軸から最高5ミリラドだけ、照明ビームを偏向させる、すなわち照明ビームの入射の方向を変化させるように構成される。
1実施形態では、集束ビームが衝突する、ブロッキングアパーチャ手段の領域の過度の照射を回避するために、共通偏向器は、照明ビームの入射方向を、主入射(光)軸を中心として種々の方向に変化させるように構成される。こうして該偏向器はビームを種々の方向に転向させ、ビームがブロッキングアパーチャ手段に衝突する位置は、時間と共に変化する。例えば共通偏向器によって達成される種々の転向のおかげで、その位置はブロッキングアパーチャ手段の開口を中心として移動することができる。この処置により結果的に、ブロッキングアパーチャ手段の種々の表面部分が、非妨害経路から転向された粒子ビームの部分に露光される。この処置により、ビームによってもたらされる照射および熱負荷は増大した表面全体に分配され、冷却が促進される。
2つの状態、すなわち(例えば照明ビームの伝搬に全く影響を及ぼさないように偏向器の作動を停止することによって)照明ビームが入射軸の方向に沿ってパターン画定装置に伝搬する第1状態(「共通ブランクイン」)と、偏向手段が作動して、ビームの経路を、ビーム全体を遮断しているブロッキングアパーチャ手段の開口から外れるように方向付けるのに充分な最小角度だけ、照明ビームを偏向する第2状態(「共通ブランクアウト」)との間で、時間の関数としてスイッチングする前記偏向手段のための共通ブランキング制御信号を生成するように構成された、制御手段が存在する可能性がある。これらの2種類の状態の間のスイッチングは、PD装置におけるパターンデータのローディングおよび結像のプロセスを制御するのに役立つ。
共通ブランキング制御信号の適用の1例では、共通ブランキング制御信号は、パターン画定手段内で表わされるパターン情報の有効性を制御する、少なくとも1つの制御信号と同期化することができる。特に、偏向器が作動する周波数は、PD装置におけるパターンのトグルレート(リフレッシュレート)と少なくとも同じである。こうして、PD装置のパターンが存在しかつ安定しているときにだけ、ビームを作動(ブランクイン)させるが、例えばパターンをPD装置内にローディングするプロセス中は、個々のビームレット偏向器が過渡状態になり、ビームをブランクアウトさせることが可能である。
別の例では、制御手段は共通ブランキング制御信号を、画像が形成されるターゲット上の画像の走査動作と同期させることができ、前記走査動作は、画像の位置がターゲットの公称位置上に安定化される第1期間と、画像がターゲット上で移動する第2期間とを含み、前記共通ブランキング制御信号は、前記第1期間内の共通ブランクインのために偏向手段を制御する。制御手段および共通ブランキング制御信号の適用のさらなる例は、他の露光パラメータ、例えばフレーム露光の持続時間またはターゲットステーションの速度と併せて、露光ドーズの微調整のため、ビームによってターゲット上に生じる露光ドーズをスケーリングするために、共通ブランキング制御信号のパルス長を制御することが予想される。さらに別の例は、ターゲットに生成されるビーム画像の配置を微細制御するために、共通ブランキング制御信号の時間遅延のために制御信号を使用する。
さらに、共通偏向手段によるブランキング中に投影される画像の配置誤差を回避するために、パターン画定手段、特にマイクロ偏向器を含むプレートが、投影光学システムの対物面の位置に配置されると有利である。
ビームの偏向部分を阻止する、効率的でしかも簡単な方法を提供するために、ブロッキングアパーチャ手段は、投影光学システムによって画定されるビームのクロスオーバ位置またはその付近に(すなわち、ビームの側方の制限が、共通偏向手段によってもたらされるビーム偏向の側方変位より小さい範囲内に)位置することが好ましい。
ブロッキングアパーチャ手段は、照明ビームから発生して偏向手段によって主入射軸から最小角度より大きい角度だけ偏向されるビーム部分の通過を、阻止するように構成することが有利である。最小角度は、ビームが偏向されるときのアパーチャの位置におけるビームの空間偏位に関して、アパーチャブロッキング手段のアパーチャの幅に相応する。1つの好適な実施形態では、2つ以上のブロッキングアパーチャ手段を設けることができ、その場合、第2のブロッキングアパーチャ手段は、パターン画定手段のビームレット偏向器の少なくとも1つによって偏向されるビームから生じるビーム部分の通過を阻止するように構成することができる。代替的に、同じブロッキングアパーチャ手段は、パターン画定手段のビームレット偏向器の少なくとも1つによって偏向されるビームから生じるビーム部分の通過を阻止するようにも構成することができる。
以下で、本発明について図面に概略的に示す好適な実施形態に関連して、さらに詳しく説明する。
以下に記載する好適な実施形態は、米国特許第6,768,125号およびGB2408383Aに開示されたパターン画定(PD)システムに基づいており、PD装置の構造および動作、ならびに特にそのブランキングプレートの構造に関するこれらの両文書の教示を、これにより本開示の一部として含む。以下で、PDシステムの技術的背景を、本発明に関係する範囲で、図1ないし6を参照しながら最初に考察し(それは上記の特許文書から抜粋し、適宜、修正を加えたものである)、次いで本発明の好適な実施形態を追加の図に示す。本発明は以下に論じる実施形態に限定されず、以下の実施形態は本発明の可能な実現の1つを表わすにすぎないことを理解されたい。
図1は、本発明の基礎を成すリソグラフィ装置の概観を示す。以下では、本発明を開示するために必要な詳細のみを提示し、分かり易くするために、コンポーネントは図1に縮尺通りに示されていない。リソグラフィ装置100の主なコンポーネントは、この実施例では図1でまっすぐに下行するリソグラフィビームlb、pbの方向に相応して、照明システム101、PDシステム102、投影システム103、および基板41を載せたターゲットステーション104を含む。ビームlb、pbが装置の光軸cxに沿って妨害無く伝搬することを確実にするために、装置100全体が、高真空に維持された真空ハウジング105内に収容される。粒子‐光学システム101、103は静電レンズまたは電磁レンズを用いて実現される。
照明システムは、例えば電子銃11、抽出システム12、および集光レンズシステム13を含む。しかし、電子の代わりに、一般的に他の電荷を帯びた粒子も同様に使用することができることに留意されたい。電子以外に、これらは例えば水素イオンまたはより重いイオンのようなイオンとすることができる。
抽出システム12は、粒子を一般的に数keV、例えば10keVの定められたエネルギまで加速する。集光レンズシステム13によって、ソース11から射出された粒子は、リソグラフィビームlbとして働く広幅の略テレセントリック粒子ビームに形成される。次いでリソグラフィビームlbは、その位置を維持するために必要な装置(下述の説明、下述の図9および10を参照)と共にPDシステム102を形成する、PD装置20を照射する。ここで論じる好適な実施形態では、リソグラフィビームは、照明システムの光軸cxおよびPD装置の中心軸である入射の方向に、PD装置を照射する。PD装置20は、リソグラフィビームlbの経路の特定の位置に維持され、こうしてリソグラフィビームは複数のアパーチャ21を照射する(図2参照)。アパーチャの一部は、入射ビームを事実上透過させるように「スイッチオン」または「開口」され、結果的にビームレットはターゲットに到達することができ、他のアパーチャは「スイッチオフ」または「閉鎖」される。すなわち、システムはビームのその部分を透過しない(不透明である)。ビームレットの不透過性は、ブロッキングアパーチャ204、206の1つのような、PD装置内またはPD装置とターゲットとの間に設けられた何らかの吸収面に衝突するように、ビームレットをそれらのそれぞれの主経路から偏向させることによって達成される。スイッチオンアパーチャのパターンは、これらのアパーチャがビームlbを透過するシステムの唯一の部分であるので、基板に露光されるべきパターンに従って選択される。こうしてビームは、アパーチャから出射するパターン化ビームpb(図1で、装置20の下)に形成される。
パターン化ビームpbによって表わされるパターンは次いで、エレクトロ‐マグネト‐光学投影システム103によって基板41上に投影され、そこにスイッチオンマスクアパーチャ21の画像が形成される。すでに示した通り、スイッチオフアパーチャのビームレットは、それらがターゲットに到達することができる前に、吸収面によって遮断される。投影システム103は、2つのクロスオーバc1、c2により例えば1/200の縮小を実現する。基板41は、例えばフォトレジスト層で被覆されたシリコンウェハである。ウェハ41は、ターゲットステーション104のウェハステージ40によって保持され、かつ位置決めされる。
装置100はさらにアラインメントシステム60を含むことができ、それは、PDフィールドpf(図2)の脇の参照記号26によってPDシステムに形成される参照ビームにより、粒子‐光学システムに対する基板上のマスクアパーチャの画像の位置(画像フィールドmf、図3)を安定させることを可能にする。アラインメントシステムの原理は米国特許第4,967,088号に記載されている。例えば画像位置および歪みの補正は、多極電極315、325によって行なうことができる。加えて、磁気コイル62を使用して、基板平面内でパターンの回転を発生させることができる。
図1に示す本発明の実施形態では、投影システム103は2つの連続したエレクトロ‐マグネト‐光学プロジェクタステージ31、32から構成される。粒子結像システムの技術的実現は例えば出願人の米国特許第4,985,634号(=EP0344646)のように当業界で周知であるので、プロジェクタ31、32を実現するために使用されるレンズは、図1では象徴的に示すだけである。第1プロジェクタステージ31は、装置20のアパーチャの平面を中間平面elに結像し、それは次に、第2プロジェクタステージ32によって基板面上に結像される。ステージ31、32は両方とも、クロスオーバc1、c2を通した縮小結像を使用する。両方のステージの縮小率は、数百分の1の全体的縮小、例えば1/200が得られるように選択される。この程度の縮小は、PD装置における微細化の問題を緩和するために、リソグラフィセットアップに特に適している。
両方のプロジェクタステージで、それぞれのレンズシステムは色収差および幾何収差に関してよく補償される。さらに、第1ステージ31の残存色収差は、第2ステージ32の電極電位の適切な微修正によって補償することができる。
画像を全体的に側方に、すなわち光軸cxに対して直角な方向に沿って、変位させる手段として、プロジェクタステージの一方または両方に偏向手段315、325が設けられる。偏向手段は例えば、図1に第1ステージ偏向手段315で示すようにクロスオーバの近くに、または図1の第2ステージ偏向手段325の場合のようにそれぞれのプロジェクタの最終レンズの後に配置される、多極電極システムとして実現することができる。この装置では、多極電極は、ステージの移動に対して画像を変位させるため、およびアラインメントシステムと連動して結像システムを補正するための両方の偏向手段として使用される。
図2は、PD装置20におけるアパーチャの配列の平面図を示す。各々のラインplに同数のアパーチャが存在し、隣接ラインplに沿ってアパーチャ21が整列して成る、規則的なアレイのPDフィールドpf内に配列された、複数の方形アパーチャが設けられる。ラインplに対して直角な方向に沿って見たときに、アパーチャは一連の列r1、r2、r3を形成する。図示する実施形態では、列r1〜r3は近接せず、間隔を置いて配置される。アパーチャは、隣り合う列間のピッチpnがアパーチャの幅wの3倍であり(すなわちpn=n×w)、かつ隣り合う列間のオフセットpmがアパーチャの幅の4倍である(すなわちpm=m×w、m=4)であるので、アパーチャが3列毎に整列するように(n=3)、スキューされた規則的配列に従ってPDフィールドpf内に配列される。ラインpl内で、アパーチャのオフセットは、n・pm=12である。したがって、アパーチャはフィールドpfの面積の1/(n×m)=1/12だけをカバーし、また、図3に示すように、一度に露光できるのはn×m=12個の画像要素のうちの1つだけであり、他の要素は、アパーチャの画像に対して基板を「走査方向」sdに沿って移動させることによって、後続のステップで露光される。図3は、基板上に生成される画像フィールドmfを示す。分かり易くするために、この図では、全てのアパーチャがスイッチオン状態であると仮定する。画像フィールドの幅fwは、投影システムの縮小率で縮小されたPDフィールドpfの幅L(図2)である。画像フィールドは複数の画像要素mx(画素とも呼ばれる)から構成される。基板上の画像フィールドの所与の位置に対し、アパーチャアレイのアパーチャ21の各々は画像要素mxに対応するが、アパーチャはPDフィールド面積のごく一部をカバーするだけであるので、画像要素の個数のうち対応するわずかな部分だけ(図3にハッチングを施して示す)を一度に露光することができる。他の画像要素も露光するために、基板上の画像フィールドが変位するように、基板はビームの下で移動される。図3aは、可能な12(=n×m)個の位置を通る基板の移動の後続位置における画素の露光を示す。画素は相応して文字aないしlで示される(ハッチングを施して示される画素は位置aである)。画像フィールドmf全体が、基板表面の全面積をカバーするように、基板41として働くフォトレジスト被覆ウェハの表面上を移動する。ここで論じる実施例では、最小特徴サイズは50nmであり、ここで画素幅xとして定義される、ウェハ上で照明される最小スポットは25nmである。画像フィールドの幅fwは300μmである。1/200の縮小投影システム(上記参照)に関連してこの画像フィールドを生成するために、方形PDフィールドは幅L=60mmを有する。したがってラインplの数は、L/w=12000であり、12000ビットストリームが到来データストリームによってアドレス指定される。横切る方向には、列r1〜r3の各々にfw/(n・x)=L/(n・w)=4000個のアパーチャが存在する。
図4および5は、装置100のPDシステム102を示す。すなわち、図4は上面図、図5は縦断面図である。図6は図5の詳細、すなわちPDシステム102のプレートの断面を1つのアパーチャに沿って示す。PDシステム102は積重ね構成に取り付けられた複数のプレート22を含み、例えばカバープレート201、ブランキングプレート202、およびアパーチャプレート203を含め、それぞれの機能を果たすコンポーネントを備えた複合装置を実現する。ビームレット経路の個別微調整用の調整ユニットのようなさらなるコンポーネントプレートも存在するかもしれない(ここには図示せず;米国特許第6,768,125号参照)。プレート22は各々、当業界で公知の微細構造化技術によって構造が形成された半導体(特にシリコン)ウェハとして実現される。リソグラフィビームは、PDフィールドpfのアパーチャの配列を通してプレートを通過する(図5)。各アパーチャは、プレート22に画成された1組の開口210、220、230に対応する(図6)。
プレート22の各々の厚さは約100μmであり、それらの相互距離はおよそ100μmないし1mmである。図5および6で、縦軸(装置の光軸に平行なz軸)の寸法は拡大されているが、原寸に比例していないことに留意されたい。
ビームレットのブランキングは、各々がアパーチャに対応する開口220のアレイを含むブランキングプレート202として実現される、ブランキング手段を用いて制御される。各開口220は、1組のビームブランキング電極221a、221bのみならず、例えばブランキングプレート202の上面層に載せられた電極221a、221bを制御するための回路構成222をも含む。下述の通りアパーチャ偏向板として働くブランキング電極221a、221bは、最新技術を用いる垂直成長によってブランキング開口に形成される。ブランキングプレート202およびその回路構成222のレイアウトに関するさらなる詳細は、米国特許第6,768,125号に見ることができる。
ブランキングプレート202、特に回路構成222の照射損傷を防止するために、リソグラフィビームの方向に見て、ブランキングプレート202の前に、カバープレート201として実現されるカバー手段を設ける。カバープレート201は、入射リソグラフィビームlbの大部分を捕獲する。粒子は、ブランキングプレートの配列に対応する配列に形成された開口210だけを通過することができる。該開口は、PDフィールドpfの総面積のごくわずかな部分を占めるだけである。例えば、10keVの電子の4μA/cm2の照射密度では、カバープレートの熱負荷は約40mW/cm2である。この熱入力は、(PDシステムの前および後に位置する冷却要素29、28と併せて;図1参照)その表面からの熱放射、およびカバープレートのバルク材を通しての熱流によって補償される。カバープレート201のレイアウトについてのさらなる詳細は、米国特許第6,768,125号に見ることができる。
ブランキングプレート202の開口220の幅w2は、カバープレート201の開口210の幅w1より大きいので、後者の開口によって画定されたビームレットbmは、ブランキングプレート202上の制御回路構成222に影響を及ぼすことなく、前者の開口を通過する。例えば、幅w2は7μmとすることができる(アパーチャの画定幅w=5μmと比較して)。
PDシステム102はさらに、ビームレットを側方に画定するように働くアパーチャアレイ手段を含む。ここでアパーチャアレイ手段は、カバーおよびブランキングプレート201、202の後に配置された、幅w3を有する開口のアレイを持つアパーチャプレート203として実現される。アパーチャプレート203のレイアウトのさらなる詳細は、米国特許第6、768,125号に見ることができる。
システム102から出射するビームレットの側方の形状(図2のアパーチャの幅wに相応する)を画定するのは、(カバープレート201の初期開口ではなく)幅w3のアパーチャ230である。したがって用語「アパーチャ」は、ターゲット上に生成されるべきパターンの画定に関連して使用される場合、ビームレット画定アパーチャ230によって画定される、画定形状および幅w(図2)の開口を指す。
ブランキング電極221a、221bが付勢されなければ、ビームレットbmは経路plに沿ってプレート22の後続開口を逸脱する。これはアパーチャの「スイッチオン」状態に対応する。「スイッチオフ」アパーチャは、電極を付勢して横方向電圧を印加することによって実現される。この状態で、好ましくはクロスオーバc1、c2の1つ付近に位置するブロッキングアパーチャ204、206(図1)上で、ビームレットが最終的に何らかの吸収面につながる異なる経路p0に偏向されるように、ブランキング電極221a、221bはビームレットbmを経路plから偏向させる。
本発明によると、PDシステム102の前の位置に共通ビーム偏向器401が設けられ、こうして照明システムのコンポーネントが形成される。共通ビーム偏向器401は、PD装置に対する粒子ビームの入射角を、好適な実施形態では光軸cxである主(非偏向)方向から(小さいが充分に)偏向させるように働き、共通偏向器を付勢することによってPDシステムを全体として透過する全てのビームレットのグローバルブランキング(グローバルスイッチング「オン」および「オフ」)を可能にするために、BAAの後に配置された吸収プレート204と結合される。
複数のビームレットの各々の実効露光時間および移動するウェハに対する全ての露光の同期化は、共通ビーム偏向器をトリガする単一の信号によって制御することができる。共通偏向器によるブランキング中のPDシステムの投影画像の配置誤差を回避するために、ビームが吸収プレートによって吸収されるまで、共通ビーム偏向器を付勢することによって生じるビームの小さい角度偏向がウェハ上で(最初に)画像のドリフトを導かないように、PD装置は、投影システムの対物面の位置またはその近くに配置される。
図7は、動作の様々な時点における露光装置の3つの事例を示す。図7aで、共通ビーム偏向器401は全てのビームを均等に傾けるので、全てのビームが吸収プレート204でブランクアウトされ吸収される。プレート204は、クロスオーバc1、c2の一方の位置またはその近くに配置することが好ましい。図7bで、PDシステム102にパターンデータが読み込まれ、それによりビームレットの一部が偏向され(すなわちスイッチオフされる1つのそのようなビームレットが図7bに示される)、残りのビームレットはそれらの原経路を維持する。図7cで、共通ビーム偏向器は作動を停止し、それにより完全なパターン化ビームが逆方向に傾いて光軸に戻る。こうして、今や、PDシステムで偏向された個別ビームレットだけが吸収プレート204でブランクアウトされ、他のビームレットは主経路に従って進み、ターゲット41に到達する。
PDシステムの長手方向の延び、特に最初と最後のプレート22間の距離(「長手方向」とはPDシステムで光軸cxの方向に沿って測定されることを意味する)は充分に小さいので、ビームはPDシステム内部では影響されないが、ビームは共通ビーム偏向器のため、わずかに偏向した角度で透過する。これを図6に示す。図6の左側の部分は偏向されない照明ビームの状況を示すが、右側の部分には、本発明に係る偏向の効果が描かれている(偏向角度は誇張して示される)。見て分かるように、作動するブランキング電極の組による照明ビームの偏向の効果は、個々のビームレットの偏向のそれと同様である。すなわちPD装置で形成されたビームレットは、ターゲットに到達することを事実上不可能にするのに充分な角度だけ、その経路から逸らされる。
図10は、本発明に係る共通ビーム偏向器401の重要な用途、すなわちPD装置のブランキング電極に印加される信号が変化しているか不安定である間(例えばパターンの読込みプロセス中)、ビーム全体のブランクアウトを可能にすることを示す。図10は、時間tの関数としての制御信号の例を示し、縦方向の振れは後続画素を露光する期間Tpを示す。信号S‐1は、1つのアパーチャに関連付けられるブランキング電極の組に印加される信号の例である。制御信号S‐1は負論理であるので、それは、作動(ビームレットスイッチオン)および電極の作動(スイッチオフ)の交互シーケンス「1010」に相応する。信号S‐cbは共通ビーム偏向器を制御し、ブランキング制御信号(信号S‐1がその1代表である)が安定している画素期間Tpの各々の時間窓を画定する期間T1中のみハイレベルである。見て分かるように、PD装置内部(特にブランキングプレート)のスイッチングの基本周波数は、共通ビーム偏向器の周波数と同一であるが、このビーム偏向器はより短い周期を有するので、「ビームオン」の周期は共通ブランキング装置によって決定される。結果的に得られる透過露光信号Dtmは2つの信号S‐1およびS‐cbの実効AND結果であり、考慮されるアパーチャによって基板に実際に透過されるドーズを表わす。
共通ビームブランキング装置はスイッチングの正確な時間を定義するために使用することができるという事実のため、個々のブランキング制御信号のタイミングおよび期間(信号の立上りから立下りまでの間)の小さい差異は許容できる。それは信号タイミングの不完全性および容量性挙動の個々の差異をも可能にするので、これは重要な利点である。
同様に、走査ウェハにおける画像のパターン微細配置は、走査ビームの方向に沿って変位を引き起こす共通ブランキング装置の位相変化によって改善することができる。これもまた図10に、変形信号S‐cb′および結果的に得られる透過露光信号Dtm′により示される。見て分かるように、偏向器信号S‐cb′は原信号S‐cbに対して小さい時間差だけ変位し、露光信号にわずかな時間遅延を引き起こしている。一般的な変化は、期間T1のごく一部分の範囲内である。ターゲットは衝突するビームレットの下で移動するので、そのような時間遅延は、こうして露光される画素の位置に小さい変動を引き起こす。
また、ターゲット上に生じるドーズの量は、期間T1の長さの変動によって調整することができる。これは、適切に選択された期間長T1により、全ての画素もしくは連続画素群に対して均等に、または選択された画素もしくは連続画素に対して個別に行なうことができる。
さらに、隣接する期間T1の間の期間(「休止」)を、PD装置へのパターンの読込みに使用することができる。例えばパターン情報を保持するために単純なシフトレジスタだけが使用される場合、これはPDフィールドpfにおけるアパーチャのための簡易読込み過程にとって便利であり得る。この場合、次のようなサイクルを行なうことができる。
・ 共通ビーム偏向器が作動して(S‐cbがローレベルになる)、ビームをブランクアウトする(休止の開始)。
・ データが正しい位置に到達するまで、全てのアパーチャのデータがアパーチャのラインを介してシフトする。PD装置のシフトレジスタを介するパターンデータのこの読込みプロセスは、図10に示すように信号S‐1のスプリアス振動を引き起こすことがあるが、それはこうしてブランクアウトされ、したがって共通偏向器の信号は、PDシステムで生成することができるよりよく画定された信号制御のための立上りエッジおよび立ち下がりエッジをもたらすことができる。
・ 共通ビームブランキング装置の作動を停止する(S‐cbがハイレベルになる)=期間T1の開始。
・ 画素露光の期間T1中にデータを保持する。
図8aおよび8bは、本発明に係る吸収アパーチャ手段を実現する2つの吸収プレート204、206それぞれの平面図、ならびに吸収プレート204の平面を透過するビームレットの位置b1、b0、bx、および第2の吸収プレート206の相応位置を示す。第1吸収プレートは、スイッチオンおよびスイッチオフビームレット(対応するビームスポットがハッチングを施した領域b1およびb0でそれぞれ示される)がプレートを通り抜け、かつこの位置でクロスオーバc1に集束する、おおよその位置の完全な組を包含するように、適切に選択された半径のアパーチャを有する。しかし、アパーチャは、共通ビーム偏向器401が作動したときに、ビームレットの組全体を移動させる場所を表わす領域bxまで広がらない。したがって、共通偏向器(bx)によって偏向されたビームは、第1プレートによって吸収される。共通ブランキングの方向は、ビームレットの個々のブランキングの方向と一致する必要はないことに留意されたい。
第2吸収プレートは、共通偏向器を付勢することなく、PDシステムマイクロ偏向器によって付勢された「スイッチオフ」ビームレットb0を吸収するように選択された、より狭い幅のアパーチャ260を有する(スイッチオフビームレットb0は、様々に方向付けることのできるそれぞれのブランキング電極によって個別に偏向され、第2クロスオーバc2の位置で限定されたスポットに集束する)。これにより、吸収プレートに対する熱負荷の影響をかなり軽減することができる。
図9は吸収プレート204の断面図を示す。吸収プレート204の厚さは、通り抜けるビームレットの妨害を回避するために中心開口240付近では小さいが、外側部分では、特にビームが共通ビーム偏向器によって偏向される場所(図8aの場所bxに相応する)では、より厚くすることができる。
変形例では、ビームレットの遮断は、図12に示す同じ吸収プレート204′で行なうことができる。このプレート204′は、クロスオーバc1、c2の1つに位置することが好ましい。共通ビーム偏向器が作動しないときに、スイッチオンビームレットは、ハッチングを施した領域b1として示されるおおよその位置にある開口240′を介して、吸収プレート204′を通り抜ける。開口240′の直径は小さいが、この位置でクロスオーバを介して集束するスイッチオンビームの完全な組を通過させるには充分に大きい。他方、(様々に方向付けることのできるそれぞれのブランキング電極によって個別に偏向される)スイッチオフビームレットは、開口240′の脇の領域b0に衝突し、したがってブロックオフされるので、それらはターゲットには到達しない。共通ビーム偏向器401の作動により、ビームレットの組全体がさらに外側に、例えばスポットbxとして示された場所に移動し、それらはそこでも偏向される。
好ましくは、ビームが(共通偏向器によって偏向されたときに)吸収プレート204に衝突する位置は、図8a/図12に矢印によって象徴的に示すように、少なくともビームが共通偏向器によって偏向される時間だけ、例えば光軸を中心に回転運動して、連続的に移動させることができる。これは、照射ビームが共通偏向器によって逸らされる方向を様々な角度または一連の角度に変化させ、例えば光軸を中心に円を描くことによって達成される。こうして、吸収プレートに対する実効熱負荷はかなり低減される。一般的に、ビームのクロスオーバは、図示する事例のように、クロスオーバの直径より大きい面積に移って、吸収プレートの開口と同心円状に円に沿って移動する。変形例では、吸収プレートは異なる型のアパーチャまたは絞り、例えばクロススリットアパーチャ、または吸収領域によって包囲された中央開口を一緒に画定するナイフエッジの組合せとすることができる。
実効熱負荷を低減する代替的方法は、吸収プレートの位置におけるパワー密度が著しく低減するように、偏向時のクロスオーバの追加収差と組み合わせて、複数の全ビームをより大きく偏向させることである。
走査掃引動作中に基板上の画像位置を安定化させることに関連する、本発明の別の用途を図11に示す。この用途は、ビームが基板上を特定の経路(米国特許第6,768,125号に示される例えば「boustrophedonal」経路のような)に沿って連続的に移動する、中ないし高スループットの露光プロセスを指す。走査露光が一連の直線状ストライプをカバーすると仮定して、各ストライプ内の走査動作は直線に沿って連続的である。ビームの移動は、例えばステージの機械的な連続走査動作によって、かつ/または追加的に(例えば可変軸レンズを用いる)ビームの静電走査によって達成される。画素の露光時間中に、アパーチャ画像がターゲット表面のそれぞれの位置に安定に維持されるように、すなわちそれぞれのターゲットフィールドの位置に固定されるように、アパーチャの画像は偏向される。そのために、偏向システムは、偏向器が粒子ビームに課すノコギリ波状の運動に従って、画像の位置を調整することができる。こうしてランプ(ノコギリ波の連続立上り側面)は、ターゲット上のビームの全体的走査動作を補償し、これらの連続部分の間で、ノコギリ波は「ジャンプバック」して、そのときまでに原フレームの位置を取っているターゲットフィールドの次のフレームの位置に画像が再配置される。(米国特許第6,768,125号と比較されたい)。
そのようなノコギリ波補正を行なわなければ、有限露光時間および基板に沿って移動するアパーチャの幾何学的画像の相対速度のため、結果的に得られるドーズ分布は、速度の方向に沿って細長くなり(またはスミアが生じ)、ウェハ上の隣り合う位置が充分に分離されない。補正により、基板と同じ速度でビームを移動させることによって、ビームは単一露光の期間中に基板上に「ロック」される。
図11の上の信号図は、補正偏向器用のノコギリ波電圧信号S‐cdを時間tの関数として示す。見て分かるように、信号は理想的なノコギリ波(例えば約25から1000nsの周期Tcdを有する)にほぼ従うが、各ランプ期間の始めおよび終わりにずれが生じる。理想的な偏向電圧(破線で示す)は、各露光中のランプに定勾配をもたらし、トグル事象毎に、位置をジャンプバックしなければならないときに、不連続性が生じる。実際には、容量性または誘導性減衰、タイミング誤差、信号歪みのような効果のため、ノコギリ波信号は各ランプの始めのオンセット時間を持ち、かつ充分に均等な勾配を有する多少低減されたランプ間隔rlを残して、「ジャンプバック」のために高いが有限(負)の勾配の立下りエッジを持つ必要がある。
図11の下の図に示す共通ブランキング装置信号S‐cbtにより、各ランプ期間r1の終わりから、電圧信号S‐cdが安定化する次の期間の開始までの期間r2だけ、粒子ビーム全体がブランクアウトされる。したがって、本発明は、トグル補正の線形挙動が所要分解能にとって十分である、正確な期間および位相(図11の期間r1に相応する)を選択することを可能にする。共通ブランキング装置をトグル補正信号と同期させるために、制御装置が使用される。
図1は、発明が適用されるリソグラフィ装置のレイアウトの縦断面図である。 図2は、図1のリソグラフィ装置のパターン画定装置におけるアパーチャ配置の平面図である。 図3は、図1のリソグラフィ装置内で基板表面に存在する画像フィールドを示す図である。 図4は、アパーチャアレイの前に距離を置いてブランキング手段の前に配置された、本発明に係る第1調整ユニットを含む、図1のリソグラフィ装置のパターン画定装置の上面図である。 図5は、アパーチャアレイの前に距離を置いてブランキング手段の前に配置された、本発明に係る第1調整ユニットを含む、図1のリソグラフィ装置のパターン画定装置の縦断面図である。 図6は、2つのアパーチャに沿って図5の詳細図であり、非偏向照明ビームおよび本発明に従って偏向される照明ビームの2つの状況について、ビームレットの形成および画定をそれぞれ描く。 図7は、動作の3つの異なるステージ(図7a、7b、および7c)で本発明に係る共通ビーム偏向器の動作原理を示す図である。 図8aは、吸収プレートの平面図である。 図8bは、別の吸収プレートの平面図である。 図9は、図8の吸収プレートの断面図である。 図10は、本発明の幾つかの用途、すなわち、PDシステムのパターン設定に必要な期間中のブランクアウトに関連する用途を示す図である。 図11は、走査掃引動作中の基板上の画像位置を安定化させることに関連する、本発明の別の用途を示す図である。 図12は、本発明の変形例の単一吸収プレートの平面図である。

Claims (14)

  1. 照明システム(101)と、パターン画定手段(20)と、投影光学システム(103)とを備え、前記照明システム(101)が前記パターン画定手段を照明するビームを生成するように構成され、前記パターン画定手段(20)が前記照明ビームの形状を所望のパターンにするように構成され、前記投影光学システム(103)が前記パターン画定手段で画定された前記ビーム形状の画像をターゲット(41)上に投影するように構成されて成る、電荷を帯びた粒子のビームでターゲット(41)を露光するための荷電粒子露光装置(100)であって、
    前記パターン画定手段が、アパーチャを透過するビームレットの形状を画定する複数のアパーチャのみに前記照明ビームを通過させることによって、前記照明ビームの断面を複数のビームレットに形成するように構成された複数のアパーチャを含み、前記手段がさらに、前記それぞれのビームレットをそれぞれの公称結像経路から選択的に逸らすように構成された、複数の個別ビームレット偏向器を有するブランキング手段を含み、
    前記照明システム(101)がさらに、前記パターン画定手段に対する前記照明ビームの入射の方向を主入射軸から変動させるように構成された偏向手段(401)を含み、かつ前記投影光学システムが、開口を有しかつ前記開口の外側を通るビームの通過を阻止するように構成されたブロッキングアパーチャ手段(204)を含む、荷電粒子露光装置。
  2. 前記偏向手段(401)が、前記照明ビームの前記入射の方向を前記主入射軸から最高5ミリラドだけ変動させるように構成される、請求項1に記載の装置。
  3. 前記共通偏向器が、前記照明ビームの前記入射の方向を、前記主入射軸を中心とする変動方向に変動させるように構成される、請求項1または2に記載の装置。
  4. 時間の関数として、2つの状態、すなわち前記照明ビームが前記主入射軸に沿って前記パターン画定装置に伝搬する第1状態(「共通ブランクイン」)と、前記偏向手段(401)が作動して、前記ビームの経路を、ビーム全体を遮断している前記ブロッキングアパーチャ手段の開口から外れるように方向付けるのに充分な最小角度だけ、前記照明ビームを偏向させる第2状態(「共通ブランクアウト」)との間でのスイッチングする、前記偏向手段(401)のための共通ブランキング制御信号を生成するように構成された制御手段を備える、請求項1ないし3のいずれか一項に記載の装置。
  5. 前記共通ブランキング制御信号が、前記パターン画定手段内で表わされるパターン情報の有効性を制御する少なくとも1つの制御信号と同期する、請求項4に記載の装置。
  6. 前記共通ブランキング制御信号が、画像が形成されるターゲット上の画像の走査動作と同期し、前記走査動作は、前記画像の位置が前記ターゲットの公称位置に安定化される第1期間と、前記画像が前記ターゲット上を移動する第2期間とを含み、前記共通ブランキング制御信号が、前記第1期間に共通ブランクインを生じるように前記偏向手段を制御する、請求項4または5に記載の装置。
  7. 前記制御手段が、前記ビームによって前記ターゲット上に生成される露光ドーズを調整するために、前記共通ブランキング制御信号のパルス長を制御するように構成される、請求項4ないし6のいずれか一項に記載の装置。
  8. 前記制御手段が、前記ターゲット上に生成されるビーム画像の配置を微細制御するために、前記共通ブランキング制御信号の時間遅延を制御するように構成される、請求項4ないし7のいずれか一項に記載の装置。
  9. 前記パターン画定手段(20)が、前記投影光学システム(103)の対物面の位置に配置される、請求項1ないし8のいずれか一項に記載の装置。
  10. 前記ブロッキングアパーチャ手段(204)が、前記投影光学システム(103)によって画定されるビームのクロスオーバ(c1、c2)の位置またはその付近に配置される、請求項1ないし9のいずれか一項に記載の装置。
  11. 前記ブロッキングアパーチャ手段(204)が、前記偏向手段(401)によって前記主入射軸から最小角度より大きく偏向される前記照明ビームから生じる、ビーム部分の通過を阻止するように構成される、請求項1ないし10のいずれか一項に記載の装置。
  12. 前記パターン画定手段の前記ビームレット偏向器の少なくとも1つによって偏向されるビームから生じる、ビーム部分の通過を阻止するように構成された第2ブロッキングアパーチャ手段(206)を備える、請求項11に記載の装置。
  13. 前記ブロッキングアパーチャ手段(204)が、前記パターン画定手段の前記ビームレット偏向器の少なくとも1つによって偏向されるビームから生じる、ビーム部分の通過をも阻止するように構成される、請求項11または12に記載の装置。
  14. 前記主入射軸が、前記照明システムの光軸(cx)である、請求項1ないし13のいずれか一項に記載の装置。
JP2009503366A 2006-04-03 2007-03-16 パターン化ビームの総合変調を持つ粒子ビーム露光装置 Active JP4995261B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
ATA566/2006 2006-04-03
AT5662006 2006-04-03
PCT/AT2007/000132 WO2007112465A1 (en) 2006-04-03 2007-03-16 Particle-beam exposure apparatus with overall-modulation of a patterned beam

Publications (2)

Publication Number Publication Date
JP2009532887A true JP2009532887A (ja) 2009-09-10
JP4995261B2 JP4995261B2 (ja) 2012-08-08

Family

ID=38268801

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009503366A Active JP4995261B2 (ja) 2006-04-03 2007-03-16 パターン化ビームの総合変調を持つ粒子ビーム露光装置

Country Status (5)

Country Link
US (1) US7781748B2 (ja)
EP (1) EP2002458B1 (ja)
JP (1) JP4995261B2 (ja)
DE (1) DE602007003089D1 (ja)
WO (1) WO2007112465A1 (ja)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013093567A (ja) * 2011-10-03 2013-05-16 Param Co Ltd 電子ビーム描画方法および描画装置
JP2013128032A (ja) * 2011-12-19 2013-06-27 Nuflare Technology Inc マルチ荷電粒子ビーム描画装置及びマルチ荷電粒子ビーム描画方法
JP2014003279A (ja) * 2012-05-25 2014-01-09 Param Co Ltd 電子ビーム描画装置
JP2014112639A (ja) * 2012-11-02 2014-06-19 Nuflare Technology Inc マルチ荷電粒子ビーム描画方法及びマルチ荷電粒子ビーム描画装置
KR20140084116A (ko) * 2011-10-03 2014-07-04 가부시키가이샤 파람 전자빔 묘화 장치 및 묘화 방법
JP2014137998A (ja) * 2013-01-17 2014-07-28 Ims Nanofabrication Ag 荷電粒子光学機器用高電圧絶縁装置
JP2015002189A (ja) * 2013-06-13 2015-01-05 株式会社ニューフレアテクノロジー マルチ荷電粒子ビーム描画方法及びマルチ荷電粒子ビーム描画装置
KR20160029699A (ko) * 2014-09-05 2016-03-15 아이엠에스 나노패브릭케이션 아게 다중 빔 라이터의 단거리 변위의 보정
JP2016122676A (ja) * 2014-12-24 2016-07-07 株式会社アドバンテスト 露光装置および露光方法
US9934935B2 (en) 2015-08-11 2018-04-03 Nuflare Technology, Inc. Multi charged particle beam writing apparatus and multi charged particle beam writing method
US9991086B2 (en) 2016-01-14 2018-06-05 Nuflare Technology, Inc. Multi charged particle beam writing method and multi charged particle beam writing apparatus

Families Citing this family (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL2001369C2 (nl) 2007-03-29 2010-06-14 Ims Nanofabrication Ag Werkwijze voor maskerloze deeltjesbundelbelichting.
US7901850B2 (en) 2008-09-01 2011-03-08 D2S, Inc. Method and system for design of a reticle to be manufactured using variable shaped beam lithography
US8057970B2 (en) 2008-09-01 2011-11-15 D2S, Inc. Method and system for forming circular patterns on a surface
US9323140B2 (en) 2008-09-01 2016-04-26 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
US9341936B2 (en) 2008-09-01 2016-05-17 D2S, Inc. Method and system for forming a pattern on a reticle using charged particle beam lithography
US8039176B2 (en) 2009-08-26 2011-10-18 D2S, Inc. Method for fracturing and forming a pattern using curvilinear characters with charged particle beam lithography
US8669023B2 (en) 2008-09-01 2014-03-11 D2S, Inc. Method for optical proximity correction of a reticle to be manufactured using shaped beam lithography
US20120219886A1 (en) 2011-02-28 2012-08-30 D2S, Inc. Method and system for forming patterns using charged particle beam lithography with variable pattern dosage
US8473875B2 (en) 2010-10-13 2013-06-25 D2S, Inc. Method and system for forming high accuracy patterns using charged particle beam lithography
JP5484808B2 (ja) * 2008-09-19 2014-05-07 株式会社ニューフレアテクノロジー 描画装置及び描画方法
DE102008062450B4 (de) * 2008-12-13 2012-05-03 Vistec Electron Beam Gmbh Anordnung zur Beleuchtung eines Substrats mit mehreren individuell geformten Partikelstrahlen zur hochauflösenden Lithographie von Strukturmustern
JP5607308B2 (ja) * 2009-01-09 2014-10-15 キヤノン株式会社 原版データ生成プログラムおよび方法
JP5607348B2 (ja) * 2009-01-19 2014-10-15 キヤノン株式会社 原版データを生成する方法およびプログラム、ならびに、原版製作方法
US9164372B2 (en) 2009-08-26 2015-10-20 D2S, Inc. Method and system for forming non-manhattan patterns using variable shaped beam lithography
US9448473B2 (en) 2009-08-26 2016-09-20 D2S, Inc. Method for fracturing and forming a pattern using shaped beam charged particle beam lithography
WO2011049740A1 (en) * 2009-10-21 2011-04-28 D2S, Inc. Method and system for forming a pattern on a surface using charged particle beam lithography
US8294125B2 (en) * 2009-11-18 2012-10-23 Kla-Tencor Corporation High-sensitivity and high-throughput electron beam inspection column enabled by adjustable beam-limiting aperture
US8546767B2 (en) * 2010-02-22 2013-10-01 Ims Nanofabrication Ag Pattern definition device with multiple multibeam array
JP2011199279A (ja) 2010-03-18 2011-10-06 Ims Nanofabrication Ag ターゲット上へのマルチビーム露光のための方法
US8729492B2 (en) 2010-07-20 2014-05-20 The Research Foundation For The State University Of New York Methods, devices, and systems for manipulating charged particle streams
EP2622626B1 (en) * 2010-09-28 2017-01-25 Applied Materials Israel Ltd. Particle-optical systems and arrangements and particle-optical components for such systems and arrangements
US8586949B2 (en) * 2010-11-13 2013-11-19 Mapper Lithography Ip B.V. Charged particle lithography system with intermediate chamber
WO2012065941A1 (en) * 2010-11-13 2012-05-24 Mapper Lithography Ip B.V. Charged particle lithography system with aperture array cooling
US9057956B2 (en) 2011-02-28 2015-06-16 D2S, Inc. Method and system for design of enhanced edge slope patterns for charged particle beam lithography
US9612530B2 (en) 2011-02-28 2017-04-04 D2S, Inc. Method and system for design of enhanced edge slope patterns for charged particle beam lithography
WO2012148606A2 (en) 2011-04-26 2012-11-01 D2S, Inc. Method and system for forming non-manhattan patterns using variable shaped beam lithography
NL2007392C2 (en) * 2011-09-12 2013-03-13 Mapper Lithography Ip Bv Assembly for providing an aligned stack of two or more modules and a lithography system or a microscopy system comprising such an assembly.
JP5859778B2 (ja) 2011-09-01 2016-02-16 株式会社ニューフレアテクノロジー マルチ荷電粒子ビーム描画装置及びマルチ荷電粒子ビーム描画方法
US8719739B2 (en) 2011-09-19 2014-05-06 D2S, Inc. Method and system for forming patterns using charged particle beam lithography
JP6189933B2 (ja) 2012-04-18 2017-08-30 ディー・ツー・エス・インコーポレイテッドD2S, Inc. 荷電粒子ビームリソグラフィを用いる限界寸法均一性のための方法およびシステム
US9343267B2 (en) 2012-04-18 2016-05-17 D2S, Inc. Method and system for dimensional uniformity using charged particle beam lithography
JP6147528B2 (ja) * 2012-06-01 2017-06-14 株式会社ニューフレアテクノロジー マルチ荷電粒子ビーム描画方法及びマルチ荷電粒子ビーム描画装置
JP5927067B2 (ja) * 2012-07-06 2016-05-25 株式会社日立ハイテクノロジーズ 計測検査装置、及び計測検査方法
JP2015023286A (ja) 2013-07-17 2015-02-02 アイエムエス ナノファブリケーション アーゲー 複数のブランキングアレイを有するパターン画定装置
EP2830083B1 (en) 2013-07-25 2016-05-04 IMS Nanofabrication AG Method for charged-particle multi-beam exposure
US20150069260A1 (en) 2013-09-11 2015-03-12 Ims Nanofabrication Ag Charged-particle multi-beam apparatus having correction plate
EP2913838B1 (en) 2014-02-28 2018-09-19 IMS Nanofabrication GmbH Compensation of defective beamlets in a charged-particle multi-beam exposure tool
US9443699B2 (en) 2014-04-25 2016-09-13 Ims Nanofabrication Ag Multi-beam tool for cutting patterns
EP3358599B1 (en) 2014-05-30 2021-01-27 IMS Nanofabrication GmbH Compensation of dose inhomogeneity using row calibration
JP6892214B2 (ja) 2014-07-10 2021-06-23 アイエムエス ナノファブリケーション ゲーエムベーハー 畳み込みカーネルを使用する粒子ビーム描画機のカスタマイズ化
US9568907B2 (en) 2014-09-05 2017-02-14 Ims Nanofabrication Ag Correction of short-range dislocations in a multi-beam writer
JP2016082106A (ja) 2014-10-17 2016-05-16 株式会社ニューフレアテクノロジー マルチ荷電粒子ビームのブランキング装置及びマルチ荷電粒子ビーム描画装置
US9653263B2 (en) 2015-03-17 2017-05-16 Ims Nanofabrication Ag Multi-beam writing of pattern areas of relaxed critical dimension
EP3096342B1 (en) 2015-03-18 2017-09-20 IMS Nanofabrication AG Bi-directional double-pass multi-beam writing
US10410831B2 (en) 2015-05-12 2019-09-10 Ims Nanofabrication Gmbh Multi-beam writing using inclined exposure stripes
WO2017171796A1 (en) * 2016-03-31 2017-10-05 Intel Corporation Aperture size modulation to enhance ebeam patterning resolution
US10325756B2 (en) 2016-06-13 2019-06-18 Ims Nanofabrication Gmbh Method for compensating pattern placement errors caused by variation of pattern exposure density in a multi-beam writer
EP3355337B8 (en) 2017-01-27 2024-04-10 IMS Nanofabrication GmbH Advanced dose-level quantization for multibeam-writers
US10325757B2 (en) 2017-01-27 2019-06-18 Ims Nanofabrication Gmbh Advanced dose-level quantization of multibeam-writers
JP6772962B2 (ja) * 2017-06-02 2020-10-21 株式会社ニューフレアテクノロジー マルチ荷電粒子ビーム描画装置及びマルチ荷電粒子ビーム描画方法
US10522329B2 (en) 2017-08-25 2019-12-31 Ims Nanofabrication Gmbh Dose-related feature reshaping in an exposure pattern to be exposed in a multi beam writing apparatus
US11569064B2 (en) 2017-09-18 2023-01-31 Ims Nanofabrication Gmbh Method for irradiating a target using restricted placement grids
JP7073668B2 (ja) 2017-10-25 2022-05-24 株式会社ニューフレアテクノロジー マルチ荷電粒子ビーム描画装置
US10651010B2 (en) 2018-01-09 2020-05-12 Ims Nanofabrication Gmbh Non-linear dose- and blur-dependent edge placement correction
US10840054B2 (en) 2018-01-30 2020-11-17 Ims Nanofabrication Gmbh Charged-particle source and method for cleaning a charged-particle source using back-sputtering
US10593509B2 (en) 2018-07-17 2020-03-17 ICT Integrated Circuit Testing Gesellschaft für Halbleiterprüftechnik mbH Charged particle beam device, multi-beam blanker for a charged particle beam device, and method for operating a charged particle beam device
US10483080B1 (en) * 2018-07-17 2019-11-19 ICT Integrated Circuit Testing Gesellschaft für Halbleiterprüftechnik mbH Charged particle beam device, multi-beam blanker for a charged particle beam device, and method for operating a charged particle beam device
JP7232057B2 (ja) * 2019-01-22 2023-03-02 株式会社ニューフレアテクノロジー マルチ電子ビーム照射装置、マルチ電子ビーム検査装置、及びマルチ電子ビーム照射方法
US11099482B2 (en) 2019-05-03 2021-08-24 Ims Nanofabrication Gmbh Adapting the duration of exposure slots in multi-beam writers
KR20210132599A (ko) 2020-04-24 2021-11-04 아이엠에스 나노패브릭케이션 게엠베하 대전 입자 소스
JP2022094681A (ja) * 2020-12-15 2022-06-27 株式会社ニューフレアテクノロジー 電子ビーム照射装置及び電子ビーム照射方法
US20230052445A1 (en) 2021-08-12 2023-02-16 Ims Nanofabrication Gmbh Beam Pattern Device Having Beam Absorber Structure
JP2023165626A (ja) 2022-05-04 2023-11-16 アイエムエス ナノファブリケーション ゲーエムベーハー マルチビームパターン規定装置
CN115938894B (zh) * 2023-01-09 2023-06-09 广东省科学院半导体研究所 电子束分束模块

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01248617A (ja) * 1988-03-30 1989-10-04 Fujitsu Ltd 荷電粒子ビーム露光装置
JPH09102448A (ja) * 1995-10-03 1997-04-15 Fujitsu Ltd 荷電粒子ビーム露光方法及び装置
JPH09245708A (ja) * 1996-03-04 1997-09-19 Canon Inc 電子ビーム露光装置とその露光方法
JP2002513990A (ja) * 1998-05-07 2002-05-14 エテック システムズ インコーポレイテッド ブランキングアパーチャアレイの荷電粒子ビーム照射
JP2004040076A (ja) * 2002-01-17 2004-02-05 Ims Nanofabrication Gmbh パターンを基板上に露光するマスクレス粒子ビーム装置
JP2005322918A (ja) * 2004-04-30 2005-11-17 Ims Nanofabrication Gmbh 粒子ビーム処理のための新型のパターン画定法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4980567A (en) 1988-03-30 1990-12-25 Fujitsu Limited Charged particle beam exposure system using line beams
DE69017095T2 (de) * 1989-05-19 1995-06-14 Fujitsu Ltd Anordnung von Strahlaustastungsblenden, Verfahren zur Herstellung derselben, Gerät und Verfahren zur Belichtung von mit einem Strahl geladenen Teilchen.
DE69226553T2 (de) * 1991-03-13 1998-12-24 Fujitsu Ltd Vorrichtung und Verfahren zur Belichtung mittels Ladungsträgerstrahlen
JP3121098B2 (ja) * 1992-03-17 2000-12-25 富士通株式会社 荷電粒子ビーム露光の方法と装置
JP3194541B2 (ja) * 1992-07-24 2001-07-30 富士通株式会社 電子ビーム露光装置
US5369282A (en) * 1992-08-03 1994-11-29 Fujitsu Limited Electron beam exposure method and system for exposing a pattern on a substrate with an improved accuracy and throughput
US5757015A (en) * 1995-06-08 1998-05-26 Fujitsu Limited Charged-particle-beam exposure device and charged-particle-beam exposure method
KR100225335B1 (ko) 1996-03-04 1999-10-15 미따라이 하지메 전자빔노광장치와 그 방법 및 디바이스제조방법
EP1830384B1 (en) * 2003-05-28 2011-09-14 Mapper Lithography Ip B.V. Charged particle beamlet exposure system

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01248617A (ja) * 1988-03-30 1989-10-04 Fujitsu Ltd 荷電粒子ビーム露光装置
JPH09102448A (ja) * 1995-10-03 1997-04-15 Fujitsu Ltd 荷電粒子ビーム露光方法及び装置
JPH09245708A (ja) * 1996-03-04 1997-09-19 Canon Inc 電子ビーム露光装置とその露光方法
JP2002513990A (ja) * 1998-05-07 2002-05-14 エテック システムズ インコーポレイテッド ブランキングアパーチャアレイの荷電粒子ビーム照射
JP2004040076A (ja) * 2002-01-17 2004-02-05 Ims Nanofabrication Gmbh パターンを基板上に露光するマスクレス粒子ビーム装置
JP2005322918A (ja) * 2004-04-30 2005-11-17 Ims Nanofabrication Gmbh 粒子ビーム処理のための新型のパターン画定法

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20140084116A (ko) * 2011-10-03 2014-07-04 가부시키가이샤 파람 전자빔 묘화 장치 및 묘화 방법
JP2013093567A (ja) * 2011-10-03 2013-05-16 Param Co Ltd 電子ビーム描画方法および描画装置
KR102037295B1 (ko) 2011-10-03 2019-10-28 가부시키가이샤 파람 전자빔 묘화 장치 및 묘화 방법
JP2013128032A (ja) * 2011-12-19 2013-06-27 Nuflare Technology Inc マルチ荷電粒子ビーム描画装置及びマルチ荷電粒子ビーム描画方法
JP2014003279A (ja) * 2012-05-25 2014-01-09 Param Co Ltd 電子ビーム描画装置
US10020159B2 (en) 2012-11-02 2018-07-10 Nuflare Technology, Inc. Multi charged particle beam writing method and multi charged particle beam writing apparatus
JP2014112639A (ja) * 2012-11-02 2014-06-19 Nuflare Technology Inc マルチ荷電粒子ビーム描画方法及びマルチ荷電粒子ビーム描画装置
JP2014137998A (ja) * 2013-01-17 2014-07-28 Ims Nanofabrication Ag 荷電粒子光学機器用高電圧絶縁装置
JP2015002189A (ja) * 2013-06-13 2015-01-05 株式会社ニューフレアテクノロジー マルチ荷電粒子ビーム描画方法及びマルチ荷電粒子ビーム描画装置
KR20160029699A (ko) * 2014-09-05 2016-03-15 아이엠에스 나노패브릭케이션 아게 다중 빔 라이터의 단거리 변위의 보정
KR102380475B1 (ko) * 2014-09-05 2022-03-31 아이엠에스 나노패브릭케이션 게엠베하 다중 빔 라이터의 단거리 변위의 보정
JP2016122676A (ja) * 2014-12-24 2016-07-07 株式会社アドバンテスト 露光装置および露光方法
US9934935B2 (en) 2015-08-11 2018-04-03 Nuflare Technology, Inc. Multi charged particle beam writing apparatus and multi charged particle beam writing method
US9991086B2 (en) 2016-01-14 2018-06-05 Nuflare Technology, Inc. Multi charged particle beam writing method and multi charged particle beam writing apparatus

Also Published As

Publication number Publication date
WO2007112465A8 (en) 2007-11-22
EP2002458A1 (en) 2008-12-17
JP4995261B2 (ja) 2012-08-08
DE602007003089D1 (de) 2009-12-17
EP2002458B1 (en) 2009-11-04
US20090200495A1 (en) 2009-08-13
US7781748B2 (en) 2010-08-24
WO2007112465A1 (en) 2007-10-11

Similar Documents

Publication Publication Date Title
JP4995261B2 (ja) パターン化ビームの総合変調を持つ粒子ビーム露光装置
KR102258509B1 (ko) 양방향 더블 패스 멀티빔 기록
NL2003304C2 (en) Compensation of dose inhomogeneity and image distortion.
US7276714B2 (en) Advanced pattern definition for particle-beam processing
JP6491842B2 (ja) 補正プレートを有する荷電粒子多重ビーム装置
US6870172B1 (en) Maskless reflection electron beam projection lithography
JP4423490B2 (ja) パターンを基板上に露光するマスクレス粒子ビーム装置
US7368738B2 (en) Advanced pattern definition for particle-beam exposure
US10410831B2 (en) Multi-beam writing using inclined exposure stripes
KR101119890B1 (ko) 전자 빔 노출 시스템
JP4835897B2 (ja) 帯電粒子マルチビーム露光装置
US8546767B2 (en) Pattern definition device with multiple multibeam array
JP4843679B2 (ja) 荷電粒子ビーム曝露システム
US7755061B2 (en) Dynamic pattern generator with cup-shaped structure
WO2006053359A1 (en) Pattern lock system for maskless particle-beam exposure apparatus
US7763851B2 (en) Particle-beam apparatus with improved wien-type filter
US8089051B2 (en) Electron reflector with multiple reflective modes
US7692167B1 (en) High-fidelity reflection electron beam lithography
JP2020141021A (ja) マルチビーム用アパーチャ基板セット及びマルチ荷電粒子ビーム装置
KR20230155971A (ko) 다중 빔 패턴 정의 장치
KR20230024846A (ko) 빔 흡수체 구조를 가지는 빔 패턴 디바이스

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20110524

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20110725

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20110801

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111122

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120424

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120509

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150518

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 4995261

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250