JP2009519612A5 - - Google Patents

Download PDF

Info

Publication number
JP2009519612A5
JP2009519612A5 JP2008545924A JP2008545924A JP2009519612A5 JP 2009519612 A5 JP2009519612 A5 JP 2009519612A5 JP 2008545924 A JP2008545924 A JP 2008545924A JP 2008545924 A JP2008545924 A JP 2008545924A JP 2009519612 A5 JP2009519612 A5 JP 2009519612A5
Authority
JP
Japan
Prior art keywords
organosilicon compound
flow rate
chamber
introducing
dielectric constant
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2008545924A
Other languages
English (en)
Other versions
JP2009519612A (ja
Filing date
Publication date
Priority claimed from US11/304,847 external-priority patent/US20070134435A1/en
Application filed filed Critical
Publication of JP2009519612A publication Critical patent/JP2009519612A/ja
Publication of JP2009519612A5 publication Critical patent/JP2009519612A5/ja
Pending legal-status Critical Current

Links

Claims (14)

  1. 低誘電率膜を堆積させる方法であって:
    第一有機シリコン化合物を第一流量でチャンバへ導入するステップであって、該第一有機シリコン化合物がSi原子あたり平均一つ以上のSi-C結合を有し、該第一有機シリコン化合物は少なくとも一つのSi−H結合を有する、前記ステップと;
    第二有機シリコン化合物を第二流量で該チャンバへ導入するステップであって、該第二有機シリコン化合物のSi原子あたりのSi-C結合の平均数が、該第一有機シリコン化合物におけるSi原子あたりのSi-C結合の平均数より大きく、且つ該第一流量と該第二流量の合計量に対して該第二流量が約5%〜約50%である、前記ステップと;
    該第一有機シリコン化合物と該第二有機シリコン化合物をRF電力の存在下に反応させて、該チャンバ内で基板上に低誘電率膜を堆積させるステップと;
    を含む、前記方法。
  2. 該第一有機シリコン化合物が、少なくとも一つのSi-O結合を含む、請求項1に記載の方法。
  3. 該第一有機シリコン化合物が、二つのSi-O結合を含む、請求項に記載の方法。
  4. 該第二有機シリコン化合物が、酸素を含む、請求項1に記載の方法。
  5. 該第二有機シリコン化合物が、ジメチルシラン、トリメチルシラン、テトラメチルシラン、(C)SiH4−y、ここで、yは2−4である、(CH=CH)SiH4−z、ここで、zは2−4である、1,1,3,3−テトラメチルジシロキサン、ヘキサメチルジシロキサン、ヘキサメチルトリシロキサン、オクタメチルシクロテトラシロキサン、デカメチルペンタシロキサン、ジメチルジエトキシシラン、メチルフェニルジエトキシシラン、CF−Si−(CH)、及びそれらの部分的にフッ素化された炭素誘導体からなる群より選ばれる、請求項1に記載の方法。
  6. 酸化ガスを該チャンバへ導入するステップを更に含む、請求項1に記載の方法。
  7. 該低誘電率膜をUV、電子ビーム、熱後処理、又はそれらの組合わせで後処理するステップを更に含む、請求項1に記載の方法。
  8. 低誘電率膜を堆積させる方法であって:
    第一有機シリコン化合物を第一流量でチャンバへ導入するステップであって、該第一有機シリコン化合物がSi原子あたり平均一つ以上のSi−C結合を有し、該第一有機シリコン化合物は少なくとも一つのSi−H結合を有する、前記ステップと;
    第二有機シリコン化合物を第二流量で該チャンバへ導入するステップであって、該第二有機シリコン化合物のSi原子あたりのSi−C結合の平均数が、該第一有機シリコン化合物におけるSi原子あたりのSi−C結合の該平均数より大きく、且つ該第一流量と該第二流量の合計量に対して該第二流量が約5%〜約50%である、前記ステップと;
    熱に不安定な化合物を該チャンバへ導入するステップと;
    該第一有機シリコン化合物と、該第二有機シリコン化合物と、該熱に不安定な化合物とをRF電力の存在下に反応させて、該チャンバ内で基板上に低誘電率膜を堆積させる、前記ステップと;
    を含む、前記方法。
  9. 該熱に不安定な化合物が炭化水素である、請求項に記載の方法。
  10. 該炭化水素が、環状炭化水素である、請求項に記載の方法。
  11. 該環状炭化水素が、アルファ-テルピネン、ノルボルナジエン、ビニルシクロヘキサン、及び酢酸フェニルからなる群より選ばれる、請求項10に記載の方法。
  12. 該低誘電率膜をUV、電子ビーム、熱後処理、又はそれらの組合わせで後処理するステップを更に含む、請求項に記載の方法。
  13. 低誘電率膜を堆積させる方法であって:
    メチルジエトキシシランを第一流量でチャンバへ導入するステップと;
    トリメチルシランを第二流量で該チャンバへ導入するステップであって、該第一流量と該第二流量の合計量に対し該第二流量が約5%〜約50%である、前記ステップと;
    アルファ-テルピネンを該チャンバへ導入するステップと;
    該メチルジエトキシシランと、トリメチルシランと、アルファ-テルピネンとをRF電力の存在下に反応させて、該チャンバ内で基板上に低誘電率膜を堆積させる、前記ステップと;
    を含む、前記方法。
  14. 該第一流量と該第二流量の合計で割った該第二流量が、約10%〜約45%である、請求項13に記載の方法。
JP2008545924A 2005-12-13 2006-12-08 低誘電率膜のアッシング/ウエットエッチング損傷抵抗と組込み安定性を改善する方法 Pending JP2009519612A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/304,847 US20070134435A1 (en) 2005-12-13 2005-12-13 Method to improve the ashing/wet etch damage resistance and integration stability of low dielectric constant films
PCT/US2006/061789 WO2007117320A2 (en) 2005-12-13 2006-12-08 A method to improve the ashing/wet etch damage resistance and integration stability of low dielectric constant films

Publications (2)

Publication Number Publication Date
JP2009519612A JP2009519612A (ja) 2009-05-14
JP2009519612A5 true JP2009519612A5 (ja) 2010-01-14

Family

ID=38139722

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008545924A Pending JP2009519612A (ja) 2005-12-13 2006-12-08 低誘電率膜のアッシング/ウエットエッチング損傷抵抗と組込み安定性を改善する方法

Country Status (5)

Country Link
US (1) US20070134435A1 (ja)
JP (1) JP2009519612A (ja)
KR (1) KR20080083662A (ja)
CN (1) CN101316945B (ja)
WO (1) WO2007117320A2 (ja)

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7060330B2 (en) * 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
CN101589459A (zh) * 2007-01-26 2009-11-25 应用材料股份有限公司 用于层间介电气隙的pevcd沉积牺牲聚合物薄膜的紫外光固化
CN101595559B (zh) * 2007-01-29 2012-01-04 应用材料股份有限公司 形成镶嵌结构的方法
US7879683B2 (en) * 2007-10-09 2011-02-01 Applied Materials, Inc. Methods and apparatus of creating airgap in dielectric layers for the reduction of RC delay
US20100018548A1 (en) * 2008-07-23 2010-01-28 Applied Materials, Inc. Superimposition of rapid periodic and extensive post multiple substrate uv-ozone clean sequences for high throughput and stable substrate to substrate performance
CN102077316A (zh) * 2008-06-27 2011-05-25 应用材料股份有限公司 用于高产量及稳定逐基材表现的快速周期和广泛的后期紫外臭氧清洗程序的添加
JP4708465B2 (ja) 2008-10-21 2011-06-22 東京エレクトロン株式会社 半導体装置の製造方法及び半導体装置の製造装置
US8349746B2 (en) * 2010-02-23 2013-01-08 Applied Materials, Inc. Microelectronic structure including a low k dielectric and a method of controlling carbon distribution in the structure
CN102543844B (zh) * 2010-12-30 2014-05-14 中芯国际集成电路制造(上海)有限公司 一种制造半导体器件结构的方法和半导体器件结构
US20150284849A1 (en) * 2014-04-07 2015-10-08 Applied Materials, Inc. Low-k films with enhanced crosslinking by uv curing
US10544329B2 (en) 2015-04-13 2020-01-28 Honeywell International Inc. Polysiloxane formulations and coatings for optoelectronic applications
CN106910710B (zh) * 2015-12-23 2019-10-25 中芯国际集成电路制造(上海)有限公司 一种介电层及互连结构的制作方法、半导体器件
US20210249284A1 (en) * 2020-02-12 2021-08-12 Applied Materials, Inc. Fast response dual-zone pedestal assembly for selective preclean

Family Cites Families (81)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4845054A (en) * 1985-06-14 1989-07-04 Focus Semiconductor Systems, Inc. Low temperature chemical vapor deposition of silicon dioxide films
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US5003178A (en) * 1988-11-14 1991-03-26 Electron Vision Corporation Large-area uniform electron source
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
JP2531906B2 (ja) * 1991-09-13 1996-09-04 インターナショナル・ビジネス・マシーンズ・コーポレイション 発泡重合体
JP2899600B2 (ja) * 1994-01-25 1999-06-02 キヤノン販売 株式会社 成膜方法
JPH07245332A (ja) * 1994-03-04 1995-09-19 Hitachi Ltd 半導体製造装置および半導体装置の製造方法ならびに半導体装置
US5989998A (en) * 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
US5855681A (en) * 1996-11-18 1999-01-05 Applied Materials, Inc. Ultra high throughput wafer vacuum processing system
US6080526A (en) * 1997-03-24 2000-06-27 Alliedsignal Inc. Integration of low-k polymers into interlevel dielectrics using controlled electron-beam radiation
KR19990030660A (ko) * 1997-10-02 1999-05-06 윤종용 전자빔을 이용한 반도체장치의 층간 절연막 형성방법
US6051321A (en) * 1997-10-24 2000-04-18 Quester Technology, Inc. Low dielectric constant materials and method
JP3952560B2 (ja) * 1997-10-31 2007-08-01 日本ゼオン株式会社 複合フィルム
US7064088B2 (en) * 1998-02-05 2006-06-20 Asm Japan K.K. Method for forming low-k hard film
US6432846B1 (en) * 1999-02-02 2002-08-13 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6514880B2 (en) * 1998-02-05 2003-02-04 Asm Japan K.K. Siloxan polymer film on semiconductor substrate and method for forming same
TW437017B (en) * 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6383955B1 (en) * 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6068884A (en) * 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6159871A (en) * 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6524874B1 (en) * 1998-08-05 2003-02-25 Micron Technology, Inc. Methods of forming field emission tips using deposited particles as an etch mask
US6169039B1 (en) * 1998-11-06 2001-01-02 Advanced Micro Devices, Inc. Electron bean curing of low-k dielectrics in integrated circuits
US6303047B1 (en) * 1999-03-22 2001-10-16 Lsi Logic Corporation Low dielectric constant multiple carbon-containing silicon oxide dielectric material for use in integrated circuit structures, and method of making same
US6312793B1 (en) * 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
US6509259B1 (en) * 1999-06-09 2003-01-21 Alliedsignal Inc. Process of using siloxane dielectric films in the integration of organic dielectric films in electronic devices
US6204201B1 (en) * 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6709715B1 (en) * 1999-06-17 2004-03-23 Applied Materials Inc. Plasma enhanced chemical vapor deposition of copolymer of parylene N and comonomers with various double bonds
US6458720B1 (en) * 1999-07-23 2002-10-01 Matsushita Electric Industrial Co., Ltd. Method for forming interlayer dielectric film
US6271146B1 (en) * 1999-09-30 2001-08-07 Electron Vision Corporation Electron beam treatment of fluorinated silicate glass
US6407399B1 (en) * 1999-09-30 2002-06-18 Electron Vision Corporation Uniformity correction for large area electron source
US6420441B1 (en) * 1999-10-01 2002-07-16 Shipley Company, L.L.C. Porous materials
EP1094506A3 (en) * 1999-10-18 2004-03-03 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
US6316063B1 (en) * 1999-12-15 2001-11-13 Intel Corporation Method for preparing carbon doped oxide insulating layers
US6541367B1 (en) * 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6582777B1 (en) * 2000-02-17 2003-06-24 Applied Materials Inc. Electron beam modification of CVD deposited low dielectric constant materials
US6444136B1 (en) * 2000-04-25 2002-09-03 Newport Fab, Llc Fabrication of improved low-k dielectric structures
US6756323B2 (en) * 2001-01-25 2004-06-29 International Business Machines Corporation Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device
US6441491B1 (en) * 2000-10-25 2002-08-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same
US6340628B1 (en) * 2000-12-12 2002-01-22 Novellus Systems, Inc. Method to deposit SiOCH films with dielectric constant below 3.0
US6583048B2 (en) * 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
JP3505520B2 (ja) * 2001-05-11 2004-03-08 松下電器産業株式会社 層間絶縁膜
US6486082B1 (en) * 2001-06-18 2002-11-26 Applied Materials, Inc. CVD plasma assisted lower dielectric constant sicoh film
US20030040195A1 (en) * 2001-08-27 2003-02-27 Ting-Chang Chang Method for fabricating low dielectric constant material film
US6605549B2 (en) * 2001-09-29 2003-08-12 Intel Corporation Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
US6677253B2 (en) * 2001-10-05 2004-01-13 Intel Corporation Carbon doped oxide deposition
JP3749162B2 (ja) * 2001-12-05 2006-02-22 キヤノン販売株式会社 半導体装置の製造方法
JP3701626B2 (ja) * 2001-12-06 2005-10-05 キヤノン販売株式会社 半導体装置の製造方法
US7108771B2 (en) * 2001-12-13 2006-09-19 Advanced Technology Materials, Inc. Method for removal of impurities in cyclic siloxanes useful as precursors for low dielectric constant thin films
US7423166B2 (en) * 2001-12-13 2008-09-09 Advanced Technology Materials, Inc. Stabilized cyclosiloxanes for use as CVD precursors for low-dielectric constant thin films
US7196422B2 (en) * 2001-12-14 2007-03-27 Intel Corporation Low-dielectric constant structure with a multilayer stack of thin films with pores
US6890850B2 (en) * 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US6818570B2 (en) * 2002-03-04 2004-11-16 Asm Japan K.K. Method of forming silicon-containing insulation film having low dielectric constant and high mechanical strength
US6846515B2 (en) * 2002-04-17 2005-01-25 Air Products And Chemicals, Inc. Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants
EP1504138A2 (en) * 2002-05-08 2005-02-09 Applied Materials, Inc. Method for using low dielectric constant film by electron beam
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7060330B2 (en) * 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US7056560B2 (en) * 2002-05-08 2006-06-06 Applies Materials Inc. Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
US20040109950A1 (en) * 2002-09-13 2004-06-10 Shipley Company, L.L.C. Dielectric materials
US6797643B2 (en) * 2002-10-23 2004-09-28 Applied Materials Inc. Plasma enhanced CVD low k carbon-doped silicon oxide film deposition using VHF-RF power
US7404990B2 (en) * 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US6897163B2 (en) * 2003-01-31 2005-05-24 Applied Materials, Inc. Method for depositing a low dielectric constant film
US7098149B2 (en) * 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7208389B1 (en) * 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US20040197474A1 (en) * 2003-04-01 2004-10-07 Vrtis Raymond Nicholas Method for enhancing deposition rate of chemical vapor deposition films
US20050161060A1 (en) * 2004-01-23 2005-07-28 Johnson Andrew D. Cleaning CVD chambers following deposition of porogen-containing materials
JP4938222B2 (ja) * 2004-02-03 2012-05-23 ルネサスエレクトロニクス株式会社 半導体装置
US20050214457A1 (en) * 2004-03-29 2005-09-29 Applied Materials, Inc. Deposition of low dielectric constant films by N2O addition
US7547643B2 (en) * 2004-03-31 2009-06-16 Applied Materials, Inc. Techniques promoting adhesion of porous low K film to underlying barrier layer
US7611996B2 (en) * 2004-03-31 2009-11-03 Applied Materials, Inc. Multi-stage curing of low K nano-porous films
US20050227502A1 (en) * 2004-04-12 2005-10-13 Applied Materials, Inc. Method for forming an ultra low dielectric film by forming an organosilicon matrix and large porogens as a template for increased porosity
US7018941B2 (en) * 2004-04-21 2006-03-28 Applied Materials, Inc. Post treatment of low k dielectric films
US7112541B2 (en) * 2004-05-06 2006-09-26 Applied Materials, Inc. In-situ oxide capping after CVD low k deposition
US7581549B2 (en) * 2004-07-23 2009-09-01 Air Products And Chemicals, Inc. Method for removing carbon-containing residues from a substrate
US7501354B2 (en) * 2005-01-18 2009-03-10 Applied Materials, Inc. Formation of low K material utilizing process having readily cleaned by-products
US7166531B1 (en) * 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
US7273823B2 (en) * 2005-06-03 2007-09-25 Applied Materials, Inc. Situ oxide cap layer development
US20070173071A1 (en) * 2006-01-20 2007-07-26 International Business Machines Corporation SiCOH dielectric
US20080050932A1 (en) * 2006-08-23 2008-02-28 Applied Materials, Inc. Overall defect reduction for PECVD films
US7598183B2 (en) * 2006-09-20 2009-10-06 Applied Materials, Inc. Bi-layer capping of low-K dielectric films
US7410916B2 (en) * 2006-11-21 2008-08-12 Applied Materials, Inc. Method of improving initiation layer for low-k dielectric film by digital liquid flow meter

Similar Documents

Publication Publication Date Title
JP2009519612A5 (ja)
US6936537B2 (en) Methods for forming low-k dielectric films
KR20210043460A (ko) 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR101129875B1 (ko) 중합체의 제조 방법, 중합체, 절연막 형성용 조성물,절연막의 제조 방법 및 절연막
JP2012513117A5 (ja)
KR101139593B1 (ko) 저유전상수 필름을 증착시키는 방법
CN101316945B (zh) 低介电常数薄膜的灰化/湿法蚀刻损伤的抵抗性以及整体稳定性的改进方法
JP2004312041A5 (ja)
US20080283972A1 (en) Silicon Compounds for Producing Sio2-Containing Insulating Layers on Chips
JP2008135755A5 (ja)
JP2007051363A5 (ja)
JP2009135450A5 (ja)
JP2010523737A5 (ja)
JP2006269402A (ja) 絶縁材料形成用組成物および絶縁膜
JP2001298024A (ja) 低比誘電率材料及びプロセス
JP2012084912A5 (ja)
JP2010504648A5 (ja)
JP2004292643A (ja) 多孔質膜形成用組成物、多孔質膜の製造方法、多孔質膜、層間絶縁膜、及び半導体装置
US20150196933A1 (en) Carbon dioxide and carbon monoxide mediated curing of low k films to increase hardness and modulus
JP2004536924A5 (ja)
EP1295924B1 (en) Stacked film, method for the formation of stacked film, insulating film, and substrate for semiconductor
JP2002097414A (ja) 膜形成用組成物および絶縁膜形成用材料
JP5176976B2 (ja) 硬化性組成物
EP1602692B1 (en) Silicon-containing compound, composition containing said compound, and insulating film
JP2007204626A (ja) ポリマーの製造方法、ポリマー、絶縁膜形成用組成物、絶縁膜の製造方法、およびシリカ系絶縁膜