JP2009117711A5 - - Google Patents

Download PDF

Info

Publication number
JP2009117711A5
JP2009117711A5 JP2007291064A JP2007291064A JP2009117711A5 JP 2009117711 A5 JP2009117711 A5 JP 2009117711A5 JP 2007291064 A JP2007291064 A JP 2007291064A JP 2007291064 A JP2007291064 A JP 2007291064A JP 2009117711 A5 JP2009117711 A5 JP 2009117711A5
Authority
JP
Japan
Prior art keywords
gas
processing
grooves
shower plate
space
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007291064A
Other languages
English (en)
Other versions
JP2009117711A (ja
JP5150217B2 (ja
Filing date
Publication date
Application filed filed Critical
Priority claimed from JP2007291064A external-priority patent/JP5150217B2/ja
Priority to JP2007291064A priority Critical patent/JP5150217B2/ja
Priority to KR20080110065A priority patent/KR101037812B1/ko
Priority to TW97143167A priority patent/TWI465292B/zh
Priority to CN2008101755982A priority patent/CN101431009B/zh
Priority to US12/266,800 priority patent/US9136097B2/en
Publication of JP2009117711A publication Critical patent/JP2009117711A/ja
Publication of JP2009117711A5 publication Critical patent/JP2009117711A5/ja
Publication of JP5150217B2 publication Critical patent/JP5150217B2/ja
Application granted granted Critical
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Claims (8)

  1. 基板処理装置の処理室に配されて該処理室内の処理空間に処理ガスを供給する処理ガス供給部が有するシャワープレートであって、
    前記処理ガス供給部内に形成され且つ前記処理ガスが導入される処理ガス導入空間及び前記処理空間の間に介在し、
    前記処理ガス導入空間及び前記処理空間を連通させる処理ガス供給経路を有し、
    前記処理ガス供給経路は、前記処理ガス導入空間側に形成された複数のガス穴と、前記処理空間側に形成された複数のガス溝とを有し、前記複数のガス穴及び前記複数のガス溝は、前記複数のガス穴が、前記複数のガス溝の底部において該ガス溝の長さ方向に沿って均等に開口するようにして互いに連通し、
    前記全てのガス溝の流路断面積の合計値は、前記全てのガス穴の流路断面積の合計値よりも大きいことを特徴とするシャワープレート。
  2. 前記断面における前記全てのガス溝の流路断面積の合計値は、前記全てのガス穴の流路断面積の合計値の1.75倍以上であることを特徴とする請求項1記載のシャワープレート。
  3. 前記ガス溝の深さは5mmより大きいことを特徴とする請求項1又は2記載のシャワープレート。
  4. 前記複数のガス溝は、前記処理空間側の表面において直線状且つ互いに平行に形成されることを特徴とする請求項1乃至3のいずれか1項に記載のシャワープレート。
  5. 厚さ方向に関して2分割された第1の部材及び第2の部材からなり、
    前記第1の部材は前記ガス導入空間側に配され、且つ前記第2の部材は前記処理空間側に配され、
    前記複数のガス穴は前記第1の部材に形成されるとともに、前記複数のガス溝は前記第2の部材に形成されることを特徴とする請求項1乃至のいずれか1項に記載のシャワープレート。
  6. 基板を収容して処理を施す処理室と、該処理室に配されて該処理室内の処理空間に処理ガスを供給する処理ガス供給部とを備える基板処理装置であって、
    前記処理ガス供給部は、該処理ガス供給部内に形成され且つ前記処理ガスが導入される処理ガス導入空間及び前記処理空間の間に介在するシャワープレートを有し、
    該シャワープレートは前記処理ガス導入空間及び前記処理空間を連通させる処理ガス供給経路を有し、
    前記処理ガス供給経路は、前記シャワープレートの前記処理ガス導入空間側に形成された複数のガス穴と、前記シャワープレートの前記処理空間側に形成された複数のガス溝とを有し、前記複数のガス穴及び前記複数のガス溝は、前記複数のガス穴が、前記複数のガス溝の底部において該ガス溝の長さ方向に沿って均等に開口するようにして互いに連通し、
    前記全てのガス溝の流路断面積の合計値は、前記全てのガス穴の流路断面積の合計値よりも大きいことを特徴とする基板処理装置。
  7. 前記処理ガス供給部は、前記処理ガス導入空間及び前記シャワープレートの間に介在し、且つ該シャワープレートを冷却するクーリングプレートを有し、
    該クーリングプレートは、前記処理ガス導入空間及び前記処理ガス供給経路を連通させる複数の貫通穴を有することを特徴とする請求項記載の基板処理装置。
  8. 基板を収容して処理を施す処理室と、該処理室に配されて該処理室内の処理空間に処理ガスを供給する処理ガス供給部とを備える基板処理装置であって、
    前記処理ガス供給部は、該処理ガス供給部内に形成され且つ前記処理ガスが導入される処理ガス導入空間及び前記処理空間の間に介在するシャワープレートと、前記処理ガス導入空間及び前記シャワープレートの間に介在し、且つ該シャワープレートを冷却するクーリングプレートとを有し、
    前記シャワープレートは、厚さ方向に貫通し且つ前記処理空間に連通する複数のガス溝を有し、
    前記クーリングプレートは、前記処理ガス導入空間及び前記複数のガス溝を連通させる複数のガス穴であって、前記複数のガス溝の底部において該ガス溝の長さ方向に沿って均等に開口するガス穴を有し、
    前記全てのガス溝の流路断面積の合計値は前記全てのガス穴の流路断面積の合計値よりも大きいことを特徴とする基板処理装置。
JP2007291064A 2007-11-08 2007-11-08 シャワープレート及び基板処理装置 Expired - Fee Related JP5150217B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2007291064A JP5150217B2 (ja) 2007-11-08 2007-11-08 シャワープレート及び基板処理装置
KR20080110065A KR101037812B1 (ko) 2007-11-08 2008-11-06 샤워 플레이트 및 기판 처리 장치
US12/266,800 US9136097B2 (en) 2007-11-08 2008-11-07 Shower plate and substrate processing apparatus
CN2008101755982A CN101431009B (zh) 2007-11-08 2008-11-07 喷淋板和基板处理装置
TW97143167A TWI465292B (zh) 2007-11-08 2008-11-07 Shower board and substrate processing device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2007291064A JP5150217B2 (ja) 2007-11-08 2007-11-08 シャワープレート及び基板処理装置

Publications (3)

Publication Number Publication Date
JP2009117711A JP2009117711A (ja) 2009-05-28
JP2009117711A5 true JP2009117711A5 (ja) 2010-12-24
JP5150217B2 JP5150217B2 (ja) 2013-02-20

Family

ID=40622601

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007291064A Expired - Fee Related JP5150217B2 (ja) 2007-11-08 2007-11-08 シャワープレート及び基板処理装置

Country Status (5)

Country Link
US (1) US9136097B2 (ja)
JP (1) JP5150217B2 (ja)
KR (1) KR101037812B1 (ja)
CN (1) CN101431009B (ja)
TW (1) TWI465292B (ja)

Families Citing this family (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5165968B2 (ja) * 2007-08-27 2013-03-21 東京エレクトロン株式会社 プラズマ粒子シミュレーション方法、記憶媒体、プラズマ粒子シミュレータ、及びプラズマ処理装置
KR101045598B1 (ko) * 2009-05-18 2011-07-01 한국과학기술원 유체 분배 장치 및 유체 분배 방법
JP5455462B2 (ja) 2009-06-23 2014-03-26 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP5697389B2 (ja) * 2010-09-27 2015-04-08 東京エレクトロン株式会社 プラズマエッチング用の電極板及びプラズマエッチング処理装置
CN102528855B (zh) * 2012-01-16 2014-09-24 中国林业科学研究院木材工业研究所 一种木竹材多锯片锯切冷却系统
CN103367510A (zh) * 2012-03-30 2013-10-23 生阳新材料科技有限公司 冷却板
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
CN104651838B (zh) * 2013-11-22 2017-07-04 北京北方微电子基地设备工艺研究中心有限责任公司 一种进气装置及反应腔室
CN103736616B (zh) * 2013-12-31 2016-09-28 天津市德中技术发展有限公司 集液体的容纳与液体的喷射功能于一体的装置的制作方法
JP6349396B2 (ja) * 2014-07-15 2018-06-27 株式会社Fuji 検査方法
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
CN106663625B (zh) * 2014-12-26 2019-10-25 A·Sat株式会社 测量方法、电极、再生方法、等离子体蚀刻装置和显示方法
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US20170076917A1 (en) * 2015-09-11 2017-03-16 Applied Materials, Inc. Plasma Module With Slotted Ground Plate
JP2017183392A (ja) 2016-03-29 2017-10-05 株式会社日立国際電気 基板処理装置、半導体装置の製造方法および記録媒体
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
CN107159839A (zh) * 2017-03-27 2017-09-15 海安海太铸造有限公司 一种铸造加工用混砂机的混合剂添加机构
CN107414019B (zh) * 2017-03-27 2019-11-15 海安海太铸造有限公司 一种铸造加工用混砂机
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
JP7176860B6 (ja) * 2017-05-17 2022-12-16 アプライド マテリアルズ インコーポレイテッド 前駆体の流れを改善する半導体処理チャンバ
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
CN108012400A (zh) * 2017-11-24 2018-05-08 电子科技大学 一种常压高频冷等离子体处理装置
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US10984987B2 (en) * 2018-10-10 2021-04-20 Lam Research Corporation Showerhead faceplate having flow apertures configured for hollow cathode discharge suppression
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20210041354A (ko) 2019-10-07 2021-04-15 삼성전자주식회사 가스 공급 유닛 및 이를 포함하는 기판 처리 장치
CN115775715A (zh) 2021-09-08 2023-03-10 东京毅力科创株式会社 等离子体处理装置
WO2023234150A1 (ja) * 2022-05-31 2023-12-07 京セラ株式会社 流路構造体、半導体製造装置および流路構造体の製造方法

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4590042A (en) * 1984-12-24 1986-05-20 Tegal Corporation Plasma reactor having slotted manifold
US5589002A (en) * 1994-03-24 1996-12-31 Applied Materials, Inc. Gas distribution plate for semiconductor wafer processing apparatus with means for inhibiting arcing
JP3195535B2 (ja) * 1996-04-12 2001-08-06 株式会社東京カソード研究所 プラズマエッチング用電極及びプラズマエッチング装置
JP2001237227A (ja) 2000-02-23 2001-08-31 Kobe Steel Ltd プラズマ処理装置
JP2002025984A (ja) * 2000-07-05 2002-01-25 Kyocera Corp シャワープレート
US6793733B2 (en) * 2002-01-25 2004-09-21 Applied Materials Inc. Gas distribution showerhead
JP3837539B2 (ja) * 2003-03-25 2006-10-25 独立行政法人産業技術総合研究所 プラズマcvd装置
US6942753B2 (en) * 2003-04-16 2005-09-13 Applied Materials, Inc. Gas distribution plate assembly for large area plasma enhanced chemical vapor deposition
JP4502639B2 (ja) * 2003-06-19 2010-07-14 財団法人国際科学振興財団 シャワープレート、プラズマ処理装置、及び、製品の製造方法
KR101172334B1 (ko) * 2003-12-26 2012-08-14 고에키자이단호진 고쿠사이카가쿠 신고우자이단 샤워 플레이트, 플라즈마 처리 장치, 및 제품의 제조방법
JP4451221B2 (ja) * 2004-06-04 2010-04-14 東京エレクトロン株式会社 ガス処理装置および成膜装置
JP4572127B2 (ja) 2005-03-02 2010-10-27 東京エレクトロン株式会社 ガス供給部材及びプラズマ処理装置
US7416635B2 (en) * 2005-03-02 2008-08-26 Tokyo Electron Limited Gas supply member and plasma processing apparatus
JPWO2006112392A1 (ja) * 2005-04-18 2008-12-11 北陸成型工業株式会社 シャワープレート及びその製造方法
US20060288934A1 (en) 2005-06-22 2006-12-28 Tokyo Electron Limited Electrode assembly and plasma processing apparatus
JP4615464B2 (ja) * 2006-03-16 2011-01-19 東京エレクトロン株式会社 プラズマ処理装置用電極アッセンブリ及びプラズマ処理装置

Similar Documents

Publication Publication Date Title
JP2009117711A5 (ja)
WO2007006590A3 (en) Micro-structured cooler and use thereof
EP1595974A3 (en) Plasma uniformity control by gas diffuser hole design
JP2010153680A5 (ja)
TR200502671T2 (tr) Merdane rulosu.
WO2005082043A3 (en) Thermal cycling device
WO2009022440A1 (ja) アッシング装置
TW200721316A (en) Substrate processing apparatus, cooling gas feed nozzle and method for manufacturing semiconductor device
JP2011023714A5 (ja) プラズマ成膜装置
WO2012160699A1 (ja) ホットプレス装置
JP2006505687A5 (ja)
TW200733160A (en) Capacitor to be incorporated in wiring substarate, method for manufacturing the capacitor, and wiring substrate
JPWO2013001630A1 (ja) ホットプレス装置
WO2009023338A3 (en) Channel cell system
PL1820571T3 (pl) Struktury 3D na bazie podłoży 2D
JP2011071497A5 (ja) プラズマcvd装置
ATE545746T1 (de) Wärmedämmziegel
ATE453810T1 (de) Gasdrucklager und verfahren zu seiner herstellung
PL1982828T3 (pl) Obrabialny blok z drewna do utworzenia oprzyrządowania, sposób jego wytwarzania i otrzymane oprzyrządowanie
ATE489263T1 (de) Strukturbauteil, insbesondere hitzeschild
JP2011140968A5 (ja)
AR070305A1 (es) Unidad de intercambio de calor para reactores quimicos isotermicos
RU2006142973A (ru) Устройство для непрерывного литья, прокатки и прессования профилей
JP4982723B2 (ja) ダイクエンチプレス装置
WO2009017192A1 (ja) インクジェットヘッドおよびその製造方法