JP2008530820A5 - - Google Patents

Download PDF

Info

Publication number
JP2008530820A5
JP2008530820A5 JP2007556200A JP2007556200A JP2008530820A5 JP 2008530820 A5 JP2008530820 A5 JP 2008530820A5 JP 2007556200 A JP2007556200 A JP 2007556200A JP 2007556200 A JP2007556200 A JP 2007556200A JP 2008530820 A5 JP2008530820 A5 JP 2008530820A5
Authority
JP
Japan
Prior art keywords
thin film
film resistor
layer
current density
conductor material
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2007556200A
Other languages
English (en)
Other versions
JP2008530820A (ja
JP5063365B2 (ja
Filing date
Publication date
Priority claimed from US10/906,365 external-priority patent/US7271700B2/en
Application filed filed Critical
Publication of JP2008530820A publication Critical patent/JP2008530820A/ja
Publication of JP2008530820A5 publication Critical patent/JP2008530820A5/ja
Application granted granted Critical
Publication of JP5063365B2 publication Critical patent/JP5063365B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Claims (13)

  1. 半導体回路構造用の薄膜抵抗器であって、
    抵抗値を有する薄膜導体材料層(20)と、
    前記薄膜導体材料層(20)の片面に形成された電流密度増強層(CDEL)(50)であって、前記薄膜抵抗器が、小さな抵抗シフトで、より高い電流密度を伝達することを可能にする電流密度増強層(50)と
    を含む薄膜抵抗器。
  2. 前記薄膜導体材料層(20)が、Ta、TaN、Ti、TiN、W、WN、NiCrまたはSiCrのうちの1つを含む、請求項1に記載の薄膜抵抗器。
  3. 前記電流密度増強層(50)が金属酸化膜を含む、請求項1に記載の薄膜抵抗器。
  4. 前記金属酸化膜が、Al、Ta、HfOまたはZrOのうちの1つを含む、請求項3に記載の薄膜抵抗器。
  5. 前記電流密度増強層(50)が、原子層付着プロセスによって付着された、請求項3に記載の薄膜抵抗器。
  6. 前記電流密度増強層(50)の厚さが50Å以下である、請求項1に記載の薄膜抵抗器。
  7. バック・エンド・オブ・ライン(BEOL)プロセスにおいて絶縁半導体構造上に形成された、請求項1に記載の薄膜抵抗器。
  8. 導電性バイア構造によって半導体回路の金属レベルに電気的に結合された、請求項7に記載の薄膜抵抗器。
  9. フロント・エンド・オブ・ライン(FEOL)プロセスにおいて絶縁半導体構造上に形成された、請求項1に記載の薄膜抵抗器。
  10. 前記CDEL層(50)が、前記薄膜導体材料層(20)の上に形成された、請求項1に記載の薄膜抵抗器。
  11. 前記薄膜導体材料層(20)の下に形成された追加のCDEL層(50)をさらに含む、請求項10に記載の薄膜抵抗器。
  12. 前記CDEL層(50)が、前記薄膜導体材料層(20)への良好な付着を提供するように適合された材料からなる、請求項2に記載の薄膜抵抗器。
  13. 前記薄膜抵抗器の上に形成された絶縁層をさらに含む、請求項2に記載の薄膜抵抗器。
JP2007556200A 2005-02-16 2006-02-08 電流密度増強層(cdel)を有する薄膜抵抗器 Expired - Fee Related JP5063365B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/906,365 US7271700B2 (en) 2005-02-16 2005-02-16 Thin film resistor with current density enhancing layer (CDEL)
US10/906,365 2005-02-16
PCT/US2006/004436 WO2006088709A2 (en) 2005-02-16 2006-02-08 Thin film resistors with current density enhancing layer (cdel)

Publications (3)

Publication Number Publication Date
JP2008530820A JP2008530820A (ja) 2008-08-07
JP2008530820A5 true JP2008530820A5 (ja) 2009-02-12
JP5063365B2 JP5063365B2 (ja) 2012-10-31

Family

ID=36815104

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007556200A Expired - Fee Related JP5063365B2 (ja) 2005-02-16 2006-02-08 電流密度増強層(cdel)を有する薄膜抵抗器

Country Status (7)

Country Link
US (1) US7271700B2 (ja)
EP (1) EP1849167B1 (ja)
JP (1) JP5063365B2 (ja)
CN (1) CN101647075B (ja)
AT (1) ATE538480T1 (ja)
TW (1) TWI384497B (ja)
WO (1) WO2006088709A2 (ja)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7381981B2 (en) * 2005-07-29 2008-06-03 International Business Machines Corporation Phase-change TaN resistor based triple-state/multi-state read only memory
US7749896B2 (en) * 2005-08-23 2010-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for forming the same
US8013394B2 (en) * 2007-03-28 2011-09-06 International Business Machines Corporation Integrated circuit having resistor between BEOL interconnect and FEOL structure and related method
JP2011082195A (ja) * 2008-02-04 2011-04-21 Alps Electric Co Ltd 半導体装置及びその製造方法
US8426745B2 (en) * 2009-11-30 2013-04-23 Intersil Americas Inc. Thin film resistor
US8169811B2 (en) * 2010-07-13 2012-05-01 Nxp B.V. Non-volatile re-programmable memory device
US8455768B2 (en) 2010-11-15 2013-06-04 International Business Machines Corporation Back-end-of-line planar resistor
US8680618B2 (en) * 2011-10-17 2014-03-25 Texas Instruments Incorporated Structure and method for integrating front end SiCr resistors in HiK metal gate technologies
US8890222B2 (en) * 2012-02-03 2014-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Meander line resistor structure
CN103325844B (zh) * 2012-03-19 2017-10-13 联华电子股份有限公司 薄膜电阻结构
US8859386B2 (en) * 2012-06-08 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices, methods of manufacture thereof, and methods of forming resistors
WO2014205634A1 (zh) * 2013-06-24 2014-12-31 吉瑞高新科技股份有限公司 电子烟发热装置及电子烟
US9502284B2 (en) * 2013-12-31 2016-11-22 Texas Instruments Incorporated Metal thin film resistor and process
US9773779B2 (en) * 2015-08-06 2017-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with resistor layer and method for forming the same
US10037990B2 (en) * 2016-07-01 2018-07-31 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing interconnect layer and semiconductor device which includes interconnect layer
US20180019298A1 (en) * 2016-07-18 2018-01-18 Raytheon Company METHOD FOR FORMING PATTERNED TANTALUM NITRIDE (TaN) RESISTORS ON DIELECTRIC MATERIAL PASSIVATION LAYERS
US10211278B2 (en) * 2017-07-11 2019-02-19 Texas Instruments Incorporated Device and method for a thin film resistor using a via retardation layer
US10763324B2 (en) 2017-07-25 2020-09-01 Microchip Technology Incorporated Systems and methods for forming a thin film resistor integrated in an integrated circuit device
US11756786B2 (en) * 2019-01-18 2023-09-12 International Business Machines Corporation Forming high carbon content flowable dielectric film with low processing damage
US11088024B2 (en) * 2019-04-11 2021-08-10 Microchip Technology Incorporated Forming a thin film resistor (TFR) in an integrated circuit device
TW202125541A (zh) * 2019-12-18 2021-07-01 光頡科技股份有限公司 薄膜電阻元件
US11990257B2 (en) * 2020-02-27 2024-05-21 Microchip Technology Incorporated Thin film resistor (TFR) formed in an integrated circuit device using wet etching of a dielectric cap
US20210305155A1 (en) * 2020-03-30 2021-09-30 Qualcomm Incorporated Via zero interconnect layer metal resistor integration
US20230063793A1 (en) * 2021-08-26 2023-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method (and related apparatus) for forming a resistor over a semiconductor substrate

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4166279A (en) * 1977-12-30 1979-08-28 International Business Machines Corporation Electromigration resistance in gold thin film conductors
US4217570A (en) * 1978-05-30 1980-08-12 Tektronix, Inc. Thin-film microcircuits adapted for laser trimming
US4232059A (en) * 1979-06-06 1980-11-04 E-Systems, Inc. Process of defining film patterns on microelectronic substrates by air abrading
US5356869A (en) * 1987-09-28 1994-10-18 Arch Development Corporation Metal oxide superconducting powder comprised of flake-like single crystal particles
JPH03132022A (ja) 1989-10-18 1991-06-05 Hitachi Ltd 半導体装置の製造方法およびその装置
JPH04221850A (ja) * 1990-12-20 1992-08-12 Murata Mfg Co Ltd 薄膜抵抗体
US5783483A (en) * 1993-02-24 1998-07-21 Intel Corporation Method of fabricating a barrier against metal diffusion
US5817574A (en) * 1993-12-29 1998-10-06 Intel Corporation Method of forming a high surface area interconnection structure
JP3510943B2 (ja) 1995-10-27 2004-03-29 株式会社ルネサステクノロジ 半導体装置の製造方法
US6054659A (en) * 1998-03-09 2000-04-25 General Motors Corporation Integrated electrostatically-actuated micromachined all-metal micro-relays
JP2001071499A (ja) * 1998-09-30 2001-03-21 Canon Inc インクジェット記録ヘッドとこれを備えるインクジェット装置およびインクジェット記録方法
US6545359B1 (en) * 1998-12-18 2003-04-08 Semiconductor Energy Laboratory Co., Ltd. Wiring line and manufacture process thereof, and semiconductor device and manufacturing process thereof
US6703666B1 (en) * 1999-07-14 2004-03-09 Agere Systems Inc. Thin film resistor device and a method of manufacture therefor
JP2001223334A (ja) * 2000-02-09 2001-08-17 Toshiba Corp 半導体装置製造方法および半導体装置
JP3715502B2 (ja) * 2000-03-14 2005-11-09 株式会社東芝 半導体装置及びその製造方法
TW471163B (en) * 2000-08-17 2002-01-01 United Microelectronics Corp Manufacturing method and structure of thin film resistor having a high resistance value
US7214295B2 (en) * 2001-04-09 2007-05-08 Vishay Dale Electronics, Inc. Method for tantalum pentoxide moisture barrier in film resistors
US6599827B1 (en) * 2001-05-02 2003-07-29 Advanced Micro Devices, Inc. Methods of forming capped copper interconnects with improved electromigration resistance
US6432822B1 (en) * 2001-05-02 2002-08-13 Advanced Micro Devices, Inc. Method of improving electromigration resistance of capped Cu
US6534374B2 (en) * 2001-06-07 2003-03-18 Institute Of Microelectronics Single damascene method for RF IC passive component integration in copper interconnect process
TW495959B (en) * 2001-06-26 2002-07-21 Taiwan Semiconductor Mfg Highly precise semiconductor thin film resistor and the manufacturing method thereof
JP4088052B2 (ja) * 2001-07-17 2008-05-21 株式会社東芝 半導体装置の製造方法
US6933186B2 (en) * 2001-09-21 2005-08-23 International Business Machines Corporation Method for BEOL resistor tolerance improvement using anodic oxidation
JP3948263B2 (ja) * 2001-11-28 2007-07-25 ソニー株式会社 半導体装置の製造方法
JP2003243520A (ja) 2002-02-19 2003-08-29 Alps Electric Co Ltd 半導体装置及び半導体装置の製造方法
JP3969192B2 (ja) * 2002-05-30 2007-09-05 株式会社デンソー 多層配線基板の製造方法
DE10224167B4 (de) * 2002-05-31 2007-01-25 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer Kupferleitung mit erhöhter Widerstandsfähigkeit gegen Elektromigration in einem Halbleiterelement
US6730573B1 (en) * 2002-11-01 2004-05-04 Chartered Semiconductor Manufacturing Ltd. MIM and metal resistor formation at CU beol using only one extra mask
US6872655B2 (en) * 2003-02-04 2005-03-29 Texas Instruments Incorporated Method of forming an integrated circuit thin film resistor
US6858527B2 (en) * 2003-04-14 2005-02-22 Intel Corporation Method to increase electromigration resistance of copper using self-assembled organic thiolate monolayers
TWI224820B (en) * 2003-10-03 2004-12-01 Mosel Vitelic Inc Method for manufacturing trench-typed MOSFET

Similar Documents

Publication Publication Date Title
JP2008530820A5 (ja)
KR101406581B1 (ko) 터치 패널 센서용 Cu 합금 배선막 및 그 제조 방법, 및 터치 패널 센서 및 스퍼터링 타깃
TWI551925B (zh) A conductive laminate, a transparent conductive laminate having a pattern wiring, and an optical device
CN1964050B (zh) 非易失性存储器件及其制造方法
US10600844B2 (en) Memory structures having reduced via resistance
JP2008545964A5 (ja)
US9502647B2 (en) Resistive random-access memory (RRAM) with a low-K porous layer
EP2325846B1 (en) A magnetic tunnel junction memory with thermally assisted writing
JP2007043176A5 (ja)
JP2007521661A5 (ja)
CN102881820B (zh) 磁阻式随机存储器及其制造方法
JP2009523311A5 (ja)
JP2009124167A5 (ja)
JP2009295813A5 (ja)
JP2006310799A5 (ja)
CN104810476A (zh) 非挥发性阻变存储器件及其制备方法
CN109638153A (zh) 一种选通管材料、选通管器件及其制备方法
KR20150067765A (ko) 반도체 장치 및 반도체 장치의 제조 방법
CN105206743A (zh) 具有多层器件结构的电阻式随机存取存储器(rram)
Liu et al. Analysis of the negative-SET behaviors in Cu/ZrO 2/Pt devices
US20150287915A1 (en) Resistive random access memory and method of fabricating the same
JP2009021436A5 (ja)
JP2013125903A (ja) 抵抗変化素子
US11283014B2 (en) RRAM crossbar array circuits with specialized interface layers for low current operation
TW201250968A (en) Semiconductor device apply to copper plating process