JP2008041934A - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法 Download PDF

Info

Publication number
JP2008041934A
JP2008041934A JP2006214402A JP2006214402A JP2008041934A JP 2008041934 A JP2008041934 A JP 2008041934A JP 2006214402 A JP2006214402 A JP 2006214402A JP 2006214402 A JP2006214402 A JP 2006214402A JP 2008041934 A JP2008041934 A JP 2008041934A
Authority
JP
Japan
Prior art keywords
gate insulating
insulating film
layer
silicon
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2006214402A
Other languages
English (en)
Other versions
JP4461441B2 (ja
Inventor
Takuo Ohashi
拓夫 大橋
Hiroshi Kubota
大志 久保田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Memory Japan Ltd
Original Assignee
Elpida Memory Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Elpida Memory Inc filed Critical Elpida Memory Inc
Priority to JP2006214402A priority Critical patent/JP4461441B2/ja
Priority to US11/833,894 priority patent/US7592234B2/en
Publication of JP2008041934A publication Critical patent/JP2008041934A/ja
Application granted granted Critical
Publication of JP4461441B2 publication Critical patent/JP4461441B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Ceramic Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

【課題】半導体基板との界面付近の窒素濃度の増加を抑制しつつ、逆側のゲート電極との界面付近の窒素濃度を高めたゲート絶縁膜を形成する。
【解決手段】ゲート絶縁膜12を形成するステップは、シリコン基板11上にSiO層21を形成する酸化膜形成ステップと、SiO層の表面部分21aを窒化する窒化ステップと、シリコン単原子層を堆積するステップと、シリコン単原子層を窒化するステップとを順次に含み、表面部分を窒化したSiO層21の表面にSiN層22を形成する窒化膜形成ステップとを有する。
【選択図】図3

Description

本発明は、半導体装置の製造方法に関し、更に詳しくは、半導体基板上に窒素含有ゲート絶縁膜を形成する半導体装置の製造方法に関する。
モバイル製品等の普及に伴い、DRAM(Dynamic Random Access Memory)等の半導体装置の低消費電力化が要請されている。半導体装置の低消費電力化を実現するために、MOSFET(Metal Insulator Semiconductor Field Effect Transistor)のゲート絶縁膜に窒素を導入することによって、ゲート絶縁膜を薄膜化する手法が採用されている。ゲート絶縁膜に導入した窒素は、ゲート絶縁膜の誘電率を向上させると共に、膜を貫通しての不純物の拡散を防止し、MOSFETの特性を向上させる。
ところで、ゲート絶縁膜に窒素を導入する際には、シリコン基板との界面付近への窒素の拡散を抑制する必要がある。これは、シリコン基板との界面付近に窒素が蓄積すると、蓄積した窒素が不純物準位を形成し、しきい値電圧Vthの変動やばらつき、キャリアのモビリティー低下など、MOSFETの様々な特性劣化を引き起こすためである。シリコン基板との界面付近における窒素の蓄積を防ぐために、例えばシリコン基板上にSiO層を形成し、CVD(Chemical Vapor Deposition)法等を用いて、このSiO層上にSiN層を形成した2層構造のゲート絶縁膜が用いられている。
シリコン基板上に順次に積層されたSiO層及びSiN層からなる2層構造のゲート絶縁膜については、例えば特許文献1に記載されている。
特開2002−203961号公報
ところで、SiN層の形成に際し、ゲート絶縁膜の信頼性向上を目的として、原子層蒸着(ALD:Atomic Layer Deposition)法を用いることが考えられる。ALD法を用いたSiN層の形成に際しては、シリコン単原子層の成膜、及び、成膜したシリコン単原子層の窒化によって原子レベルの厚みを有するSiN層を成膜し、このSiN層の成膜を繰り返すことによって所望の厚みを有するSiN層を形成する。ALD法では、原子レベルでの成膜の繰り返しによって所望の厚みの層を形成するため、良好な膜質を有する層を形成できる。
しかし、ALD法を用いたSiN層の成膜をSiO層上で行うと、SiN層中の窒素濃度が化学量論的組成での濃度よりも大幅に少なくなり、窒素濃度を充分に高めることが出来ない問題があった。良好な特性を有するMOSFETを得るためには、ゲート電極との界面付近のゲート絶縁膜の窒素濃度を充分に高めることが必須である。
本発明は、上記に鑑み、半導体基板上に窒素含有ゲート絶縁膜を形成する半導体装置の製造方法であって、ゲート絶縁膜の半導体基板との界面付近の窒素濃度の増加を抑制しつつ、逆側のゲート電極との界面付近の窒素濃度を高めることにより、良好な特性を有するMOSFETを形成可能な半導体装置の製造方法を提供することを目的とする。
上記目的を達成するために、本発明に係る半導体装置の製造方法は、窒素含有ゲート絶縁膜を有する半導体装置の製造方法であって、
前記窒素含有ゲート絶縁膜を形成するステップが、
半導体基板上にシリコン酸化膜を形成する酸化膜形成ステップと、
前記シリコン酸化膜の表面部分を窒化する窒化ステップと、
シリコン単原子層を堆積するステップと、該シリコン単原子層を窒化するステップとを順次に含み、前記表面部分を窒化したシリコン酸化膜の表面にシリコン窒化膜を形成する窒化膜形成ステップと、
を有することを特徴とする。
本発明によれば、窒化膜形成ステップが、シリコン単原子層を堆積するステップと、該シリコン単原子層を窒化するステップとを順次に含むことによって、原子レベルの厚みを有するシリコン窒化膜の成膜を繰り返し、良好な膜質を有するシリコン窒化膜を形成できる。
また、窒化膜形成ステップに先立って、シリコン酸化膜の表面部分を窒化する窒化ステップを有することによって、化学量論的組成であるSiに近い高い窒素濃度を有するシリコン窒化膜を形成できる。窒化ステップではシリコン酸化膜の表面から窒素が導入されるが、シリコン酸化膜の表面部分の窒化量は僅かでよいため、半導体基板との界面付近への窒素の拡散を充分に抑制できる。従って、ゲート絶縁膜(窒素含有ゲート絶縁膜)の半導体基板との界面付近での窒素濃度の増加を抑制しつつ、逆側のゲート電極との界面付近の窒素濃度を高めることが出来る。
本発明の好適な態様では、前記酸化膜形成ステップは、シリコン単原子層を堆積するステップと、該シリコン単原子層を酸化するステップとを順次に含む。シリコン酸化膜の膜質を高め、シリコン酸化膜を薄膜化しつつも、半導体基板側への窒素の拡散を効果的に抑制できる。本発明の好適な態様では、前記窒化ステップを、500℃未満の基板温度でプラズマ窒化法により行う。半導体基板側への窒素の拡散をより効果的に抑制できる。
従来の製造方法では、ゲート絶縁膜の厚みが10nm以下である場合に、ゲート絶縁膜の半導体基板との界面付近での窒素濃度の増加を抑制しつつ、逆側のゲート電極との界面付近の窒素濃度を高めることが容易でない。従って、本発明の製造方法では、ゲート絶縁膜の厚みが10nm以下である場合に、従来の製造方法に比して、上記本発明の効果を効果的に得ることが出来る。本発明では、シリコン窒化膜中の窒素濃度を化学量論的組成であるSiにおける窒素濃度に近づけることが出来る。従って、前記シリコン窒化膜の主成分が例えばSiである。
本発明では、前記窒化膜形成ステップが、前記シリコン単原子層を堆積するステップと、前記シリコン単原子層を窒化するステップとを交互に複数回含んでもよい。シリコン単原子層を堆積するステップと、前記シリコン単原子層を窒化するステップとを繰り返す回数を調節することによって、シリコン窒化膜の厚みを制御できる。
本発明では、前記窒素含有ゲート絶縁膜を形成するステップが、前記酸化膜形成ステップ、窒化ステップ及び窒化膜形成ステップを含む処理を繰り返し有してもよい。それぞれの酸化膜形成ステップ及び窒化膜形成ステップで形成するシリコン酸化膜及びシリコン窒化膜の厚みを調節することによって、ゲート絶縁膜の厚み方向に、窒素濃度の傾斜を持たせることが出来る。
本発明では、前記窒素含有ゲート絶縁膜を形成するステップが、前記窒化膜形成ステップに後続し、前記シリコン窒化膜上にシリコン酸化膜を形成するステップを更に有してもよい。ゲート電極との界面付近の窒素濃度を低減することによって、ゲート電極の空乏化を抑制できる。
以下に、図面を参照し、本発明の実施形態を詳細に説明する。図1は、本発明の第1実施形態に係る半導体装置の製造方法で製造される、半導体装置の構成を示す断面図である。半導体装置10は、シリコン基板11と、シリコン基板11上に形成されたゲート絶縁膜12と、ゲート絶縁膜12上に形成されたゲート電極13とを備える。ゲート絶縁膜12は、酸窒化シリコンからなり、膜中の窒素濃度は、シリコン基板11からゲート電極13に向かって順次に高くなっている。ゲート電極13は、ホウ素が導入されたポリシリコンからなる。
図2は、図1のゲート絶縁膜12を形成する手順を示すフローチャートである。図3(a)〜(c)は、図2のステップS11〜S13における、ゲート絶縁膜12の断面をそれぞれ示す断面図である。先ず、シリコン基板11表面の洗浄を行う。次いで、図3(a)に示すように、ALD法を用いて、シリコン基板11上にSiO層21を形成する(ステップS11)。ALD法を用いたSiO層21の形成に際しては、シリコン単原子層を成膜し、成膜したシリコン単原子層を酸化して原子レベルの厚みを有するSiO層を形成するSiO層形成処理を1〜複数回行う。
シリコン単原子層の成膜に際しては、例えばジクロロシランガスをプリカーサとし、その熱分解により行う。基板温度は、500℃以上に設定する。この基板温度によって、70秒で下地上へのシリコンの堆積が飽和し、約0.2nmの厚みを有するシリコン単原子層が成膜される。シリコン単原子層が成膜されると、同じ条件で成膜処理を継続しても、もはやシリコンは堆積されない。シリコン単原子層の酸化に際しては、基板温度を、例えば450〜500℃の範囲に設定し、酸素雰囲気中に60〜180秒程度晒す。
引き続き、シリコン基板11を成膜装置内に保持したままで行うin-situでのプラズマ窒化によって、図3(b)の符号21aに示すように、SiO層21の表面部分を僅かに窒化させる(ステップS12)。プラズマ窒化には、NHプラズマを用いる。なお、約0.2nmの厚みを有するシリコン単原子層を酸化すると、約0.4nmの厚みを有するSiO層が形成される。一方、後続するプラズマ窒化では膜厚は殆ど変動しない。
次いで、図3(c)に示すように、ALD法を用いて、表面部分が窒化されたSiO層21上にSiN層22を形成する(ステップS13)。ALD法を用いたSiN層22の形成に際しては、シリコン単原子層を成膜し、成膜したシリコン単原子層を窒化して原子レベルの厚みを有するSiN層を形成するSiN層形成処理を1〜複数回行う。
シリコン単原子層の成膜に際しては、ステップS11のシリコン単原子層の成膜と同様の条件で行う。シリコン単原子層の窒化に際しては、ステップS12と同様に、NHプラズマを用いたプラズマ窒化によって行う。このプラズマ窒化に際しては、窒素の過度の拡散を抑制するために、基板温度を500℃未満に設定する。
本実施形態の製造方法によれば、SiN層22の形成に先立って、プラズマ窒化によりSiO層21の表面部分を窒化することによって、化学量論的組成であるSiに近い高い窒素濃度を有するSiN層22を形成できる。
プラズマ窒化によるSiO層21の表面部分の窒化に際しては、SiO層21の表面から窒素が導入されるが、SiO層21の表面部分の窒化量は僅かでよく、また、ALD法によって良好な膜質を有するSiO層21が形成されているので、シリコン基板11との界面付近への窒素の拡散を充分に抑制できる。また、プラズマ窒化に際して、基板温度を500℃未満に設定することによって、シリコン基板11との界面付近への窒素の拡散をより効果的に抑制できる。
従って、ゲート絶縁膜12のシリコン基板11との界面付近の窒素濃度の増加を抑制しつつ、逆側のゲート電極13との界面付近の窒素濃度を高めることができ、良好な特性を有するMOSFETを形成できる。
図15は、図2のステップS13において、SiO層21上にSiを堆積する際の堆積膜厚と堆積時間との関係を示すグラフである。同図中、グラフ(i)は、上記製造方法と同様に、SiO層21の表面部分の窒化を行った例を、グラフ(ii)は、上記実施形態とは異なり、SiO層21の表面部分の窒化を行わなかった例をそれぞれ示している。同図に示すように、グラフ(ii)の例では、Siの堆積が始まるまでに多くの時間を要するのに対して、グラフ(i)の例では、堆積の開始とほぼ同時にSiの堆積が始まっている。このように、SiN層22の形成に先立って、SiO層21の表面部分を窒化することによって、Siの堆積を容易に行うことが出来る。
[実施例1]
第1実施形態の製造方法を用いて実施例1の半導体装置を製造した。実施例1の半導体装置の製造に際しては、ステップS11のSiO層形成処理の回数を5回、ステップS13のSiN層形成処理の回数を20回とした。ゲート絶縁膜12中の窒素濃度プロファイルを図4に示す。SiO層形成処理の回数に比してSiN層形成処理の回数を充分に多くしたので、SiO層21に対するSiN層22の厚みが充分に大きくなっている。従って、ゲート絶縁膜12の誘電率が大幅に高まり、従来の半導体装置に比して消費電力を大幅に低減できる。ゲート絶縁膜12のシリコン基板11との界面付近の窒素濃度は充分に抑えられている。
図5は、本発明の第2実施形態に係る半導体装置の製造方法について、ゲート絶縁膜を形成する手順を示すフローチャートである。本実施形態の製造方法では、ゲート絶縁膜12の形成に際して、ステップS11〜S13のフローを複数サイクル繰り返す。本実施形態によれば、ステップS11〜S13の各フローにおいて、SiO層形成処理の回数に対するSiN層形成処理の回数の割合を調節することによって、ゲート絶縁膜12の厚み方向の窒素濃度プロファイルを正確に制御できる。
[実施例2]
第2実施形態の製造方法を用いて実施例2の半導体装置を製造した。実施例2の半導体装置の製造に際しては、ステップS11〜S13のフローを5サイクル行った。また、1サイクル目のフローで、SiO層形成処理の回数を5回、SiN層形成処理の回数を1回とし、2サイクル目のフローからSiO層形成処理の回数を1つずつ減らし、5サイクル目のフローで、SiO層形成処理の回数を1回、SiN層形成処理の回数を1回とした。
ゲート絶縁膜12中の窒素濃度プロファイルを図6に示す。2サイクル目以降の各フローで、SiO層形成処理の回数を1つずつ減らしたので、ゲート絶縁膜12中の窒素濃度は、シリコン基板11との界面からゲート電極13との界面に向かって略直線的に増加している。実施例2の半導体装置では、ゲート絶縁膜12の膜全体における窒素濃度は25%であり、上記実施形態の製造方法によって、SiN層中の窒素濃度が効果的に高められていると評価できる。
[実施例3]
第2実施形態の製造方法を用いて実施例3の半導体装置を製造した。実施例3の半導体装置の製造に際しては、ステップS11〜S13のフローを6サイクル行った。また、1サイクル目のフローで、SiO層形成処理の回数を5回、SiN層形成処理の回数を1回とし、2〜4サイクル目のフローで、SiO層形成処理の回数を1回、SiN層形成処理の回数を1回とし、6サイクル目のフローで、SiO層形成処理の回数を1回、SiN層形成処理の回数を10回とした。
ゲート絶縁膜12中の窒素濃度プロファイルを図7に示す。SiN層形成処理の回数を段階的に増やしたので、ゲート絶縁膜12中の窒素濃度は、シリコン基板11との界面付近、及び、ゲート電極13との界面付近で段階的に増加している。図6、7の窒素濃度プロファイルを有するゲート絶縁膜12は、図4の窒素濃度プロファイルを有するゲート絶縁膜12に比して、誘電率は低いものの、シリコン基板11との界面付近での窒素濃度をより効率的に低減できる。
図8は、本発明の第3実施形態に係る半導体装置の製造方法について、ゲート絶縁膜を形成する手順を示すフローチャートである。本実施形態の製造方法では、ゲート絶縁膜12の形成に際して、ステップS11〜S13のフローを1サイクル行い、又は、複数サイクル繰り返した後、ステップS11と同様のSiO層形成処理(ステップS14)を行う。
ところで、ゲート電極13との界面付近に存在する窒素は、その界面付近で不純物準位を形成することによって、ゲート電極13を僅かに空乏化させる。本実施形態の製造方法では、ゲート絶縁膜12の形成に際して、最後にステップS14のSiO層形成処理を行うので、ゲート電極13との界面付近の窒素濃度を低減し、ゲート電極13の空乏化を抑制できる。
[実施例4]
第3実施形態の製造方法を用いて実施例4の半導体装置を製造した。実施例4の半導体装置の製造に際しては、ステップS11〜S13のフローを1サイクル行った後、ステップS14を行った。ステップS11〜S13のフローでは、SiO層形成処理の回数を5回、SiN層形成処理の回数を15回とした。ステップS14では、SiO層形成処理の回数を5回とした。ゲート絶縁膜12中の窒素濃度プロファイルを図9に示す。ゲート絶縁膜12の厚み方向の中央付近の窒素濃度が高く、シリコン基板11との界面付近、及び、ゲート電極13との界面付近の窒素濃度が低くなっている。
[比較例1]
図10は、上記実施形態の比較例1に係る半導体装置を製造する製造方法について、ゲート絶縁膜を形成する手順を示すフローチャートである。本比較例の半導体装置の製造に際しては、シリコン基板11上にCVD法を用いてSiO膜を10nmの厚みに成膜した後(ステップS101)、形成したSiO膜のプラズマ窒化を行い(ステップS102)、窒素を含むゲート絶縁膜12を形成する。
ゲート絶縁膜12中の窒素濃度プロファイルを図11に示す。ゲート絶縁膜12中の窒素濃度は、ゲート電極13との界面よりも内側で高くなると共に、ゲート絶縁膜12の表面から導入された窒素がシリコン基板11との界面に達し、シリコン基板11との界面付近の窒素濃度が増加している。このように、本比較例の半導体装置では、シリコン基板11との界面付近での窒素濃度を充分に低減できなかった。
図12は、図10のフローチャートのステップS102における、ゲート絶縁膜12の表面付近の窒素濃度とプラズマ窒化の時間(窒化時間)との関係を示すグラフである。ゲート絶縁膜12の表面付近の窒素濃度は、窒化時間の経過に伴って増加するものの、その増加が次第に緩やかになる。この製造方法では、窒素濃度を25%程度よりも高くすることは出来ず、化学量論的組成比であるSiに近づけることは出来なかった。
[比較例2]
図13は、上記実施形態の比較例2に係る半導体装置を製造する製造方法について、ゲート絶縁膜を形成する手順を示すフローチャートである。本比較例の半導体装置の製造に際しては、図10のフローチャートにおいて、ステップS102に後続し、シリコン基板11との界面付近の不純物準位の低減を目的として、ステップS103の酸化処理を行う。
ゲート絶縁膜12中の窒素濃度プロファイルを図14に示す。本比較例の半導体装置の製造に際しては、酸化処理の際の熱によって、ゲート絶縁膜12中の窒素がシリコン基板11側に更に拡散し、シリコン基板11との界面付近の窒素濃度が、比較例1の半導体装置に比して更に増加した。従って、酸化処理による不純物準位の低減が、窒素の拡散による不純物準位の増加によって相殺され、シリコン基板11との界面付近の不純物準位を充分に抑制することが出来なかった。
上記のように、比較例1,2の半導体装置では、ゲート絶縁膜12のシリコン基板11との界面付近の窒素濃度を抑制しつつ、ゲート電極13との界面付近の窒素濃度を充分に高めることが出来なかった。従って、第1〜第3実施形態に示したように、SiO層の表面部分21aをプラズマ窒化した後、ALD法によってSiN層22を成膜する製造方法を採用することとした。
なお、上記第1〜第3実施形態では、ステップS11におけるSiO層21の形成をALD法で行っているが、CVD(Chemical Vapor Deposition)法で行うことも出来る。しかし、ALD法で行うことによって、SiO層21の膜質を高め、SiO層21を薄膜化しつつも、シリコン基板11側への窒素の拡散を効果的に抑制できる。従って、上記第1〜第3実施形態では、ALD法を採用した。
以上、本発明をその好適な実施形態に基づいて説明したが、本発明に係る半導体装置の製造方法は、上記実施形態の構成にのみ限定されるものではなく、上記実施形態の構成から種々の修正及び変更を施した半導体装置の製造方法も、本発明の範囲に含まれる。
本発明の第1実施形態に係る半導体装置の製造方法で製造される、半導体装置の構成を示す断面図である。 図1のゲート絶縁膜を形成する手順を示すフローチャートである。 図3(a)〜(c)は、図2のステップS11〜S13における、ゲート絶縁膜の断面をそれぞれ示す断面図である。 実施例1の半導体装置における、ゲート絶縁膜中の窒素濃度プロファイルを示すグラフである。 本発明の第2実施形態に係る半導体装置の製造方法について、ゲート絶縁膜を形成する手順を示すフローチャートである。 実施例2の半導体装置における、ゲート絶縁膜中の窒素濃度プロファイルを示すグラフである。 実施例3の半導体装置における、ゲート絶縁膜中の窒素濃度プロファイルを示すグラフである。 本発明の第3実施形態に係る半導体装置の製造方法について、ゲート絶縁膜を形成する手順を示すフローチャートである。 実施例4の半導体装置における、ゲート絶縁膜中の窒素濃度プロファイルを示すグラフである。 比較例1の半導体装置を製造する製造方法について、ゲート絶縁膜を形成する手順を示すフローチャートである。 比較例1の半導体装置における、ゲート絶縁膜中の窒素濃度プロファイルを示すグラフである。 図10のフローチャートのステップS102における、ゲート絶縁膜の表面部分の窒素濃度と窒化時間との関係を示すグラフである。 比較例2の半導体装置を製造する製造方法について、ゲート絶縁膜を形成する手順を示すフローチャートである。 比較例2の半導体装置における、ゲート絶縁膜中の窒素濃度プロファイルを示すグラフである。 SiO層上へのSiの堆積膜厚と、堆積時間との関係を示すグラフである。
符号の説明
11:シリコン基板
12:ゲート絶縁膜
13:ゲート電極
21:SiO
21a:SiO層の表面部分
22:SiN層

Claims (8)

  1. 窒素含有ゲート絶縁膜を有する半導体装置の製造方法であって、
    前記窒素含有ゲート絶縁膜を形成するステップが、
    半導体基板上にシリコン酸化膜を形成する酸化膜形成ステップと、
    前記シリコン酸化膜の表面部分を窒化する窒化ステップと、
    シリコン単原子層を堆積するステップと、該シリコン単原子層を窒化するステップとを順次に含み、前記表面部分を窒化したシリコン酸化膜の表面にシリコン窒化膜を形成する窒化膜形成ステップと、
    を有することを特徴とする半導体装置の製造方法。
  2. 前記酸化膜形成ステップは、シリコン単原子層を堆積するステップと、該シリコン単原子層を酸化するステップとを順次に含む、請求項1に記載の半導体装置の製造方法。
  3. 前記窒化ステップを、500℃未満の基板温度でプラズマ窒化法により行う、請求項2に記載の半導体装置の製造方法。
  4. 前記窒素含有ゲート絶縁膜の厚みが10nm以下である、請求項1〜3の何れか一に記載の半導体装置の製造方法。
  5. 前記シリコン窒化膜の主成分がSiである、請求項1〜4の何れか一に記載の半導体装置の製造方法。
  6. 前記窒化膜形成ステップが、前記シリコン単原子層を堆積するステップと、前記シリコン単原子層を窒化するステップとを交互に複数回含む、請求項1〜5の何れか一に記載の半導体装置の製造方法。
  7. 前記窒素含有ゲート絶縁膜を形成するステップが、前記酸化膜形成ステップ、窒化ステップ及び窒化膜形成ステップを含む処理を繰り返し有する、請求項1〜6の何れか一に記載の半導体装置の製造方法。
  8. 前記窒素含有ゲート絶縁膜を形成するステップが、前記窒化膜形成ステップに後続し、前記シリコン窒化膜上にシリコン酸化膜を形成するステップを更に有する、請求項1〜6の何れか一に記載の半導体装置の製造方法。
JP2006214402A 2006-08-07 2006-08-07 半導体装置の製造方法 Expired - Fee Related JP4461441B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2006214402A JP4461441B2 (ja) 2006-08-07 2006-08-07 半導体装置の製造方法
US11/833,894 US7592234B2 (en) 2006-08-07 2007-08-03 Method for forming a nitrogen-containing gate insulating film

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006214402A JP4461441B2 (ja) 2006-08-07 2006-08-07 半導体装置の製造方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2009288687A Division JP5325759B2 (ja) 2009-12-21 2009-12-21 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JP2008041934A true JP2008041934A (ja) 2008-02-21
JP4461441B2 JP4461441B2 (ja) 2010-05-12

Family

ID=39029736

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006214402A Expired - Fee Related JP4461441B2 (ja) 2006-08-07 2006-08-07 半導体装置の製造方法

Country Status (2)

Country Link
US (1) US7592234B2 (ja)
JP (1) JP4461441B2 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170103885A1 (en) 2014-06-25 2017-04-13 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device, substrate-processing apparatus, and recording medium
US9741555B2 (en) 2015-01-14 2017-08-22 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
KR101829281B1 (ko) * 2011-06-29 2018-02-20 삼성전자주식회사 인-시츄 공정을 이용한 산화막/질화막/산화막(ono) 구조의 절연막 형성 방법

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7910497B2 (en) * 2007-07-30 2011-03-22 Applied Materials, Inc. Method of forming dielectric layers on a substrate and apparatus therefor

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002203961A (ja) 2000-12-28 2002-07-19 Sony Corp ゲート絶縁膜の形成方法
JP4074774B2 (ja) 2002-04-19 2008-04-09 独立行政法人科学技術振興機構 力センサ及び力検出装置並びに力検出方法
JP4204840B2 (ja) 2002-10-08 2009-01-07 株式会社日立国際電気 基板処埋装置
US7144825B2 (en) * 2003-10-16 2006-12-05 Freescale Semiconductor, Inc. Multi-layer dielectric containing diffusion barrier material
JP4669672B2 (ja) 2004-06-23 2011-04-13 株式会社大林組 吊下げ治具
JP2006135229A (ja) 2004-11-09 2006-05-25 Elpida Memory Inc 絶縁膜の成膜方法及びその絶縁膜を備えた半導体装置
JP2006156626A (ja) 2004-11-29 2006-06-15 Renesas Technology Corp 不揮発性半導体記憶装置およびその製造方法
JP4983025B2 (ja) 2006-01-17 2012-07-25 富士通セミコンダクター株式会社 半導体装置の製造方法

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101829281B1 (ko) * 2011-06-29 2018-02-20 삼성전자주식회사 인-시츄 공정을 이용한 산화막/질화막/산화막(ono) 구조의 절연막 형성 방법
US20170103885A1 (en) 2014-06-25 2017-04-13 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device, substrate-processing apparatus, and recording medium
US10163625B2 (en) 2014-06-25 2018-12-25 Hitachi Kokusai Electric Inc. Method for manufacturing semiconductor device, substrate-processing apparatus, and recording medium
US10229829B2 (en) 2014-06-25 2019-03-12 Kokusai Electric Corporation Method for manufacturing semiconductor device, substrate-processing apparatus, and recording medium
US10497561B2 (en) 2014-06-25 2019-12-03 Kokusai Electric Corporation Method for manufacturing semiconductor device, substrate-processing apparatus, and recording medium
US9741555B2 (en) 2015-01-14 2017-08-22 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium

Also Published As

Publication number Publication date
US7592234B2 (en) 2009-09-22
JP4461441B2 (ja) 2010-05-12
US20080032509A1 (en) 2008-02-07

Similar Documents

Publication Publication Date Title
US8105959B2 (en) Method for manufacturing a semiconductor device having a nitrogen-containing gate insulating film
JP2007194652A (ja) 半導体装置
JP4277268B2 (ja) 金属化合物薄膜の製造方法、ならびに当該金属化合物薄膜を含む半導体装置の製造方法
JP2008288227A (ja) 半導体装置の製造方法
JP2008532282A (ja) 窒化ゲート誘電体を形成する方法
JP4461441B2 (ja) 半導体装置の製造方法
JP2007194239A (ja) 半導体装置の製造方法
JP5050351B2 (ja) 半導体装置の製造方法
JPWO2005038929A1 (ja) 半導体装置の製造方法
WO2004107451A1 (ja) Mis型電界効果トランジスタを備える半導体装置及びその製造方法並びに金属酸化膜の形成方法
JP4477981B2 (ja) 半導体装置の製造方法
JP5325759B2 (ja) 半導体装置の製造方法
US20080128833A1 (en) High-Dielectric-Constant Film, Field-Effect Transistor and Semiconductor Integrated Circuit Device Using the Same, and Method for Producing High-Dielectric-Constant Film
JP2005317583A (ja) 半導体装置およびその製造方法
JP2007288084A (ja) 絶縁膜及びその形成方法
JP5039396B2 (ja) 半導体装置の製造方法
JP5141321B2 (ja) 半導体装置の製造方法
JP2008041825A (ja) 半導体装置の製造方法
JP2006147896A (ja) 薄膜の製造方法および半導体装置の製造方法
JP2006054382A (ja) 金属シリケート膜と金属シリケート膜の製造方法および半導体装置と半導体装置の製造方法
US11791153B2 (en) Deposition of hafnium oxide within a high aspect ratio hole
JP2004006455A (ja) 半導体装置およびその製造方法
JP2005285805A (ja) 半導体装置の製造方法
JP2004289082A (ja) 高誘電率ゲート絶縁膜の形成方法
JP2006066503A (ja) 半導体装置およびその製造方法

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080905

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081009

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20081208

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20091022

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091221

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100119

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100204

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130226

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140226

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140226

Year of fee payment: 4

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20140226

Year of fee payment: 4

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees