JP2007534025A - リソグラフィにおける誤差縮小方法 - Google Patents

リソグラフィにおける誤差縮小方法 Download PDF

Info

Publication number
JP2007534025A
JP2007534025A JP2007509421A JP2007509421A JP2007534025A JP 2007534025 A JP2007534025 A JP 2007534025A JP 2007509421 A JP2007509421 A JP 2007509421A JP 2007509421 A JP2007509421 A JP 2007509421A JP 2007534025 A JP2007534025 A JP 2007534025A
Authority
JP
Japan
Prior art keywords
mask
pattern
error
errors
dimensional
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2007509421A
Other languages
English (en)
Inventor
サンドストレム、トルビヤルン
エクバーグ、ペテル
Original Assignee
マイクロニック レーザー システムズ アクチボラゲット
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by マイクロニック レーザー システムズ アクチボラゲット filed Critical マイクロニック レーザー システムズ アクチボラゲット
Publication of JP2007534025A publication Critical patent/JP2007534025A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70691Handling of masks or workpieces
    • G03F7/70783Handling stress or warp of chucks, masks or workpieces, e.g. to compensate for imaging errors or considerations related to warpage of masks or workpieces due to their own weight
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/72Repair or correction of mask defects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70383Direct write, i.e. pattern is written directly without the use of a mask by one or multiple beams
    • G03F7/704Scanned exposure beam, e.g. raster-, rotary- and vector scanning
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70433Layout for increasing efficiency or for compensating imaging errors, e.g. layout of exposure fields for reducing focus errors; Use of mask features for increasing efficiency or for compensating imaging errors
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/705Modelling or simulating from physical phenomena up to complete wafer processes or whole workflow in wafer productions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70591Testing optical components
    • G03F7/706Aberration measurement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Electron Beam Exposure (AREA)

Abstract

本発明は、大型フォトマスク即ちレチクルなどのマスク、及び前記マスクのパターンをディスプレイ・パネル又は半導体ウェハなどのワークピースに印刷するウェハ・ステッパ又は投影型露光装置などの露光ステーションを使用したリソグラフィにおける幾何学誤差を予測及び/又は測定し、且つ、修正するための方法及びシステムに関する。ワークピース上にパターンを印刷する際のプロセスの変化を補償する方法には、前記ワークピース上に印刷された前記パターン内の二次元CDプロファイルを決定するステップ、前記二次元CDプロファイル内の変動を等化するための二次元補償ファイルを作成するステップ、及び前記二次元補償ファイルでワークピースをパターニングするステップが含まれている。

Description

本発明は、フォトマスクの製造及びフォトマスクの精密パターニング並びにマイクロリソグラフィにおけるこのようなフォトマスクの使用、たとえばフラット・パネル・ディスプレイ及び半導体回路の製造におけるこのようなフォトマスクの使用に関する。ディスプレイ・パネル又は半導体チップ上のパターンの誤差は、システマチック・ソースによる誤差、マスク・ブランク及びパターンと装置の相互作用による誤差、及び無作為変動による誤差に分類することができる。本発明は、これらの誤差の縮小に関する。別の意味においては、本発明は、フォトマスクを使用したリソグラフィの不完全性を小さくするための、フォトマスク基板及びフォトマスクと共に使用される装置並びにプロセスの特性化、このような特性化によって得られる情報の記憶と検索、及びフォトマスク書込み時に適用される修正の生成に関する。
半導体リソグラフィの開発は、1960年代の始め以来、ますますその速度を増しており、製造されるフィーチャは、回路のさらなる高速化及びさらなる複雑化に伴い、それと同時に2年毎又は3年毎にますます小さくなっている。図1は、業界の今後数年間における開発予測を示したものである。当然、この予測は確かなものではなく、将来をさらに注視しなければならず、また、エレクトロニクス産業が2020年に依然としてトランジスタを使用しているかどうかは誰にも分からない。この予測は、今後10年の間にさらに確かなものになるが、主な不確実性は、「どれくらい小さくなるか」ではなく、「正確にいつ小さくなるか」に関している。
リソグラフィにおける誤差は、大別すると、配置誤差及びサイズ誤差即ち「位置決め」及び「臨界寸法」(業界の専門用語では「CD」)として分類することができる。パターンとパターン中の最も小さいフィーチャのサイズには、許容可能な誤差間の多かれ少なかれ固定した関係が存在している。大まかには、形状の配置はマスク上では設計基準寸法の5%以内でなければならず、また、フィーチャのサイズは2.5%以内でなければならない。これらは実に小さい数字であるが、理論的にも実験的にも正当化されている。また、図1には、4Xマスクが引き続いて使用されると仮定して、必要なマスク上の位置決め及びCD(サイズ)制御が年毎に示されている。誤差は、1999年の時点では数十ナノメートルであり、15年以内にそれより10倍小さくなることが分かる。それと同時にチップがより大きくなり、マスクがより大きくなるか、或いは縮小がより小さいことを意味している。いずれにせよ必要なパターン忠実度を達成することは困難である。
本発明には、予測リソグラフィ開発に矛盾しない総合誤差を達成するために、リソグラフィにおける誤差を小さくする新しい汎用方法が工夫されている。重要なアプリケーションの1つは、重大な誤差源であり、また、複数の要因の相互作用による誤差の好例でもあるクランピング誤差を小さくするアプリケーションである。
クランピング誤差
ガラス・プレートを保持する場合、保持デバイス及びガラス・プレート自体の重量によってガラス・プレートが変形する。また、ガラス・プレートに付着している表面膜中の自蔵応力及び前記膜のパターニングによってひずみが生じることもある。半導体マスクは、通常、152×152×6.25mmであり、パターン化される面積は、場合によっては127×127mmである。図2bは、プレート201が重力の力によって湾曲し、ガラスの上部領域が収縮する様子を示したものである。たとえば垂直方向に保持されているプレートを解放すると、プレートは、図2aに示すプレートの本来の形にはね返り、収縮が消滅する。プレートが湾曲している間にパターンがプレートに書き込まれると、書き込まれたパターンは、プレートが解放されると伸張することになる。図3は、プレートが図2に示すようにその両端に沿って支持された場合に生じる最大誤差を線図で示したものである。図3には、プレートの厚さ及びサイズ即ち2つの支持端間の距離を関数とした横方向の期待位置誤差が示されている。図3から帰結される興味深い結論は、ガラス・プレートの不適切な支持によるものと考えられる誤差の大きさの程度が、ハイエンド・マスクで許容可能な誤差の大きさの程度より大きいことである。ポイントAは、152×152×6.25mmの標準半導体レチクルを示したもので、最大偏位は約400nmである。ポイントBは、225×225×9mmの新しい標準化マスク・フォーマットであり、ガラス・プレートがより分厚いという事実にもかかわらず、偏位は1μmを超えている。最後にポイントCは、マスクの面積が広い場合、問題がさらに深刻化することを示しており、800mmプレート8mmの可能誤差は60μmである。また、図3から、ガラス・プレートの厚さを分厚くしても誤差を小さくする効果はそれほど期待できないことが分かる。800mmプレートの厚さを分厚くすることによって誤差を0.1μmまで小さくすることは可能である。これは、Bで示す225mmのマスクに対しても同様であり、一辺が225mmのガラス・キューブであっても、その編位は10nmより大きい。
要するに図3は、重力による変形の大きさを示しており、また、サイズがより大きいマスクの場合、すべてがより困難になる様子を示している。
その他の誤差
クランピング変形によって配置誤差即ち位置決め誤差がもたらされる。最終製品におけるもう1つの大きな配置誤差源は、半導体用のウェハ・ステッパ或いはディスプレイ・パネル用の投影型露光装置などの露光ツールのひずみである。マスク・ライタにはステージ誤差があるが、通常、これらの誤差は、たとえばNikon及びLeicaから商用的に入手することができるxy度量衡学システムに対する較正の後、良好に制御されている。ワークピースに付着した膜或いはワークピースから除去された膜には自蔵応力があり、そのためにワークピースが変形するため、また、処理工程の中にはワークピースが収縮又は湾曲する原因になる工程、たとえば高温焼きなまし工程があるため、配置は、処理によっても影響されることがある。マスク上で使用されるペリクルは、重大な誤差源である。マスク・プレートへのフレームの嵌合もマスク・プレートを湾曲させる原因になっている。
パターン・フィーチャのサイズは、他の影響によってもマスク上若しくはチップ上又はディスプレイ・パネル上の様々な位置で変化する。これには、焦点の非一様性、現像液の非一様な攪拌、フォトレジストの厚さの非一様性、クロムのマスク上における特性の非一様性及びウェハ上又はパネル上における膜の厚さの非一様性、露光ツールの露光線量の変化、露光と現像の間の時間又はレジスト・コーティングと露光の間の時間の影響、及び不完全なプリ露光ベーク手順及びポスト露光ベーク手順の影響などのいくつかの可能なメカニズムが存在している。また、サイズ誤差は、マスク・ライタ及び露光ステーションの基本画像化特性によっても生じる。詳細には、微小フィーチャには、解像度が有限であるために小さくなりすぎる傾向があり、また、フィーチャは、漂遊露光により、近隣に存在する他のフィーチャに影響される。これらのタイプのサイズ誤差は、線の末端が短くなり、また、角が丸くなるなどの形状誤差にも直結している。また、マスク及びウェハ露光ツールの厳密な細部とパターンが相互作用し、たとえばグリッド・スナップ効果が生成され、擬似パターン・フィーチャが生成される。
ミックス・アンド・マッチ
マスク業界で使用されている用語は、通常は理想数学格子である基準格子からの位置決め誤りを実際に意味する「位置決め」である。過去においては、理想数学格子に対する最終製品の位置決めは不要であった。同じタイプの露光ステーションを使用してすべての層(半導体チップの場合は約25層、TFTの場合は約6層)を印刷する場合、すべての層が同じようにひずむため、露光ステーションの系統的で、且つ、同様の挙動が相殺される。
しかしながら、回路速度及び実装密度を達成するために解像度が引き上げられると、ツールのコストがより高くなり、また、マスクがより高価になるため、リソグラフィのコストが急激に高くなる。経済的に製造するために、ディスプレイ及びチップの製造者は、個々の層に必要な技術以上に高度な技術を使用しない、いわゆるミックス・アンド・マッチを試行している。誤差の特性が異なる、異なるタイプの露光ツールを使用して様々な層を印刷することができる。また、異なるタイプのマスクを使用することも可能であり、たとえば1つの層に位相シフト・マスクを使用し、他の層に標準2進マスクを使用することができる。タイプが異なるマスクの場合、場合によっては異なるマスク・ライタ上でこれらのマスクを書き込まなければならない。
また、重要な層のための露光ツール及び重要ではない層のための露光ツールが必ずしも同じ露光視野を有しているとは限らないため、誤差の管理はより複雑である。図4は、視野が異なるツールを使用して半導体ウェハ上に形成されたダイを示したものである。トランジスタ層のような重要な層は、図4aに示すように1つのダイにのみ適合する視野を有するツールを使用して印刷される。頂部金属層などのそれほど重要ではない層は、図4bに示すように、より広い視野を有し、また、場合によっては異なるマスク縮小係数を有する異なるステッパを使用して印刷される。いずれか一方又は両方の層をステップ・アンド・スキャン・ツールを使用して露光することができ、また、ステップ・アンド・スキャンを両方の層に使用する場合、これらの層は、互いに直角の走査方向を極めて良好に有することができる。また、将来的には、図4cに示すように、いわゆるスティッチング・スキャナを使用して複数の走査ストロークで単一のダイを露光することが期待されている。
マスクは、過去においては、可能な限り完璧に近いものでなければならない、つまり可能な限り理想数学格子に近いものでなければならない、と考えられていた。マスクが可能な限り理想数学格子に近い場合に、タイプの異なるマスク・ライタ上で異なる層のためのマスクを書き込むことができ、さらには異なるマスク製造会社によって最良の経済性及びロジスティックスが得られる。すべてのマスクを同じステッパ上又は同じタイプのステッパ上で露光する場合、ステッパの系統的誤差が著しく相殺される。図4a〜cに示すミックス・アンド・マッチのシナリオは、これを分類したものである。異なる方法で印刷される層の複雑なオーバレイ特性を分解するための唯一の簡単な方法は、数学的に理想に近い画像を個々の層に印刷することである。
本発明によれば、特定の露光ステーションにおける印刷誤差を予測し、パターンを反対の方向に予めひずませ、且つ、前もって偏りを持たせることによって事前に修正する方法が提供される。本出願には、非理想的なマスク・ブランク及びクランピング構造の存在下において、最終製品に到るまでの間、リソグラフィ誤差を管理するための実践的な方法が記述されている。
したがって本発明の目的は、正確性を改善するために、リソグラフィにおける幾何学的な誤差を予測し、且つ、修正するための方法を提供することである。
この目的は、特許請求の範囲に記載されている方法によって達成される。
本発明は、図5に示すような制御システムとして最も良好に記述される。パターンは、マスク書込み手順506を実行している間、つまり露光中、マスク・ライタ507によって設計データ・ファイル503及びマスク・ブランク504からマスク505に変換されると、異なる種類の誤差501、502をピック・アップする。次に、マスクを使用して電子デバイス508が製造される。パターン・ファイルには、チップ又はパネルの設計者が見たいと思う内容が記述されており、設計者にとっては印刷されるあらゆる偏位が誤差である。これらの誤差の一部は系統的な誤差であり、また、他の誤差は、時間によって異なる誤差である。本発明は、異なるタイプの誤差の識別及び個々のタイプの誤差を小さくするための適切な方法に基づいている。システムからの出力に見出すことができ、且つ、識別することができる、系統的な方法で再発する誤差は、逆の誤差509をマスクの書込みにフィードバックすることによって小さくすることができる。図5に示すフィードバック・ループ510は、これを示したものである。このフィードバックは、擬似連続フィードバックであってもよい。つまり、マスクを書き込む毎に修正されるか或いは間欠的に修正される。誤差は、測定手段511を使用して測定される。フィルタ512は、測定した誤差の雑音成分によるフィードバックの変動を防止するために有用である。この低域通過フィルタ512には、極めて単純な処理手順を使用することができ、5日間にわたる5つの測定の平均を使用して第1のフィードバックが設定され、次に、連続する5つの測定の移動平均が所定の許容差の範囲外である場合にのみ、第1のフィードバックが変更される。また、低域通過フィルタ512は、時系列予測などのより高度な統計量及び出力誤差の測定毎における適切にフィルタリングされた修正のフィードバックに基づくことも可能である。より入念な統計量を使用して、拒絶部品をもたらすことなく総合システムの緩慢な特性変化を相殺することができ、或いは特性が異なる誤差成分を抽出することができる。当分野の統計家は、生産の流れを妨害することなくシステムを調整する統計的プロセス制御(SPC)手順をセット・アップすることができる。
図12は、図5を参照して上で説明した実施例に提供されている修正システムをより詳細に示したものである。入力データは、誤差縮小システム506のデータ収集ユニット1201へ転送される。以下でより詳細に説明するように、このような入力データは、1つ又は好ましくは複数のデータであってもよいが、パターン(設計)データ、ブランク・データ・マスク・ライタ・データ、露光ツール・データ、プロセス・データ及び度量衡学ツール・データのすべてのデータであることが最も好ましい。入力データは、次にデータ検証ユニット1202へ送られ、データの妥当性が検証される。検証されたデータは、次に、モデルを備えた誤差予測ユニット1203へ転送され、モデル・パラメータが統計的に予測される。次に、位置修正マップ1204及び/又は修正サイズ・マップ1203として修正データが出力され、パターン・エレメントの位置及びパターン・エレメントのサイズがそれぞれ修正される。
これらの修正マップはマスク・ライタ507に送られる。いずれか一方又は両方の修正マップをマスク・ライタ507のデータ経路1206に送り、パターン・データ・ファイルから提供されるデータを修正し、延いては前記ひずみを修正することができる。したがって少なくとも1つのプロセッサの中で入力設計データを変更することができることが好ましく、また、複数のこのようなプロセッサの中で入力設計データを変更することができることが好ましい。別法又は補足として、たとえば、書込みプロセスの間、マスク基板を支持する支持テーブルを制御する位置サーボ1205に位置修正マップを送ることも可能である。その場合、修正マップは、サーボ・システムの位置制御の修正を意味し、したがってマスク基板上のパターンの位置の修正を意味している。別法又は補足として、線量調整器などの露光線量コントロール1207にサイズ修正マップを送ることも可能である。したがって露光中に予測修正マップに従って露光線量を修正することができる。
原理的には、誤差をもたらす4つの要因、つまりパターンが印刷される基板即ちマスク・ブランク、ウェハ又はパネルの物理特性、基板上の位置、露光シーケンス及び環境を含む露光設備(露光媒体として電磁放射又は粒子線を使用することができる)、及びパターン自体の4つの要因が存在している。これらの要因は、製造プロセスを介して互いに直接相互作用して誤差を生成する。本発明の重要な特徴は、測定した物理パラメータ又は既知の物理パラメータを修正すべき配置誤差、サイズ誤差又は形状誤差に変換するモデルを使用していることである。実施例の1つはプラズマ・エッチャである。プラズマは、基板の縁に向かって非一様であり、また、プラズマに露出される表面がパターン中の他の場所とは異なる局部領域ではプラズマは非一様である。この非一様性によって位置依存サイズ誤差及びパターン依存サイズ誤差が生成される。しかしながら、この誤差は、エッジ・フォールオフの大きさ及び典型的な長さ、並びにパターン変化に対する感度及び外乱の長さなどの少数のパラメータを使用して特性化することができる。4つのパラメータを有するこのモデルを使用することにより、プレート毎に同じであるエッジ・フォールオフを事前に補償することができるだけでなく、パラメータによって変化する局部変化を補償することができる。
モデルに基づく誤差予測を使用することにより、管理可能な量の実験データを収集して多数の異なる誤差メカニズムを説明することができる。限られた数の測値からのモデルのパラメータに効果的に当てはまるサンプリング及び測定計画の設計は当分野で知られており、それについては実験計画に関するテキストブックを参照されたい。また、異なる誤差要因を分類するための設計計画の方法についても知られている。理想的な状況は、生産マスク及びウェハを非破壊で測定することであるが、特定のモデルに対しては、場合によっては専用のモニタ基板を使用すること、つまり試験構造のマトリックスを表面全体にわたって分散させることができることがより効果的である。
図6は、モデルに基づく誤差予測をさらに説明したものである。誤差を生成するための2つの異なるメカニズム、たとえばエッジ・フォールオフ依存エッチング・アクティビティ及びパターン依存エッチング・アクティビティがエッチング工程にセット・アップされる。測定は、個々のメカニズム毎にパラメータを見出し、且つ、それらを分類することができるように設計されている。この実施例では、基板の内側、基板の中間及び基板の縁の近傍の3つの位置にそれぞれ3つの密度を有する領域のフィーチャを測定することができる。測定が実施され、パラメータが引き出される。パターンの書込み及び修正に先立って、関連する情報を収集しなければならず、この場合、パターンの異なる領域におけるパターン密度及びパターン領域から基板の縁までの距離を収集しなければならない。ほとんどの場合、2つのメカニズムによる修正を重ね合わせることによって総合的な修正が生成され、場合によってはもっと複雑な総和によって総合的な修正が生成される。
図8は、モデルに基づく誤差修正の他の態様、即ち1組の独立した計算可能な誤差メカニズムへの複雑な誤差挙動の分解を示したものである。マスク・ライタの一般幾何学誤差は極めて複雑であるが、等方性膨張、自蔵形状、重力によるたるみ、クランピング構造の非理想的な幾何構造によるクランピング変形、及び自蔵形状とクランピング幾何構造の間の相互作用に分解することができる。リソグラフィ基板の場合のように個々の誤差が小さい場合、寄与を重畳させることができる。一番上がステージ誤差、つまりマスク・ライタの座標系の誤差になる。
たとえば迷光のためにチップが縁に向かってCD誤差を有している場合、モデルに基づく誤差の修正は重要である。0.18のフィーチャが3%パーセントだけ小さすぎる場合、マスクにおける+3%のサイズ修正によってこれが完全に補償されることを期待することができる。しかしながら、ステッパの解像度が有限であるため、いわゆる「マスク誤差拡大係数」MEEFと呼ばれている、ウェハ上のサイズに対するマスク上のサイズに関連するもっと複雑な関数が存在している。このマスク誤差拡大係数はサイズ依存性であり、ツール及びプロセスの詳細によって様々である。したがってMEEFを考慮したモデルを使用しなければならず、また、修正は、MEEFモデルが検証されるまでは適正ではなく、フィードバック・ループの周りを数回にわたってぐるぐると巡ることになる。
プロセス誤差502は、それらを一定に保持することができる場合、原理的にはフィードバック・ループを使用して修正することができる。他の誤差501は、時間に対して一定ではないため、フィードバック・ループによる修正は不可能である。本発明においては、このような誤差は、マスク・ライタのマスク、度量衡学システム及びマスクを使用している露光ステーションのクランピングひずみとして重大な誤差の1つに識別されている。クランピング誤差は、一見したところでは制御不可能な誤差と考えられているが、本出願人らは、マスク・ブランク自体の正確な幾何学データ、及びマスクを使用している設備又はマスク上で動作している設備のクランピング構造の正確な幾何学データからこれらのクランピング誤差を予測することができることを見出した。見たところでは確率的誤差源である、本発明の他の実施例では制御されるもう1つの誤差源は、レジスト及びマスク基板全体のクロム特性の変化による線幅の変化である。本発明を使用することにより、レジストの厚さ及びクロムの特性がどのようにパターンのフィーチャ・サイズに影響を及ぼし、生成される誤差を修正するかを見出すためのモデルをセット・アップし、且つ、適用することができる。
これらの特性は、マスクの書込みに先立って測定手段513、514を使用して測定することができるため、パターンを書き込む時点で誤差を予測し、且つ、それらを修正することができる。図5のフィードフォワード修正ループ515は、それを示したものである。
残留誤差
フィードフォワード修正又はフィードバック修正509によって、或いはとりわけその2つを組み合わせることにより、誤差全体の大部分を制御し、且つ、修正することができる。残留誤差は、マスク書込み中、露光中及び処理中の確率的誤差によるものであり、したがって、たとえばより良好な温度制御、振動絶縁、プロセス・オートメーション等によって対処しなければならない。また、残留誤差は、不完全な誤差モデル及びモデル・パラメータの不確実性によるものである。本出願人らは、本発明のフレームワークが確立されると、よりいっそう良好なモデルが開発され、最終的には真性雑音誤差を除くあらゆる誤差が除去されることを確信している。誤差を特性化するためのモデル及びソフトウェアの開発及び使用は、当然、個々の営利企業の使命になるものと思われる。
図6に示す流れ図は、モデルの開発及びそのモデルを使用して誤差を予測し、且つ、修正する方法を記述したものである。
誤差源の総合リスト
図7は、ガラス・ブロック及びCADファイルから最終チップ又はTFTディスプレイまでの作業の流れ、及びモデル化し、且つ、修正することができる重要な誤差メカニズムを示したものである。作業の流れは、マスク・ブランクを製造する部分、マスク・ブランク上にマスクを書き込む部分、及びリソグラフィ生産のためにマスクを使用する部分の3つに分割されており、また、個々の部分は、いくつかの異なる工程に分割されている。さらに、工程毎に、前記工程の間に生じる可能性のある異なるタイプの誤差が示されている。しかしながら、これらの誤差は、個々の工程の間に生じる可能性のある誤差の単なる例にすぎず、他の多くの誤差が同時に生じる可能性がある。上で説明したように、少なくともいくつかの工程の誤差が測定され、書き込まれるパターン中の誤差を予測し、且つ、マスク製造における露光工程の間、前記予測した誤差を補償するための修正を生成するべく、フィードバック・ループ又はフィードフォワード・ループのいずれかに使用される。
クランピング誤差の修正
書込み中及び使用中に全く同じ方法で保持される場合、誤差は存在しない。これまで、クランピングが慎重に実施されることを条件として、クランピングによって変形が生じない剛直なプレートとして半導体マスクを取り扱うことが可能であった。クランピングによって生じる変形が将来的により重大な問題になる2つの開発が存在しており、マスク・サイズが拡大され、且つ、精度要求事項が劇的に厳格になる。225×225×9mmの新しいマスク・フォーマットが画定され、マスクに許容される幾何学誤差は、2001年には30nmになり、今後数年のうちに10nm未満になることが予想される。フォトマスク上のフィーチャに許容される位置決め誤差は、通常、5%であり、また、臨界寸法(CD)の誤差は2.5%未満でなければならない。リソグラフィ予測に対する現在の計画では、2010年にはフィーチャは約25nmになる。縮率が4の場合、フィーチャはマスク上で100nmであり、したがって位置決め要求事項は5nmであり、CD許容誤差は2.5nmである。この要求事項は、進展がより小さいスケールに向かって継続する場合、数年後にはサブ・ナノメートルになることが予測される。従来技術に基づくマスク製造では、これらの要求事項を満足するマスクを製造することはできない。本発明による方法は、多くの系統的誤差を1桁以上小さくすることができるように工夫されている。
ガラス・プレートを3点で支持することは従来技術で知られている。3点で支持することにより、サポートによって湾曲が生じることはない。したがってガラス・プレートが変形するのは重力によってのみである。また、ガラス・プレートを3点で支持した場合に、重力によるたるみによって生じるパターン幾何学の変形を計算によって修正することも知られている。重力によるたるみによって生じるこの変形は、ガラス・プレートのサイズ及びガラス・プレートの材料特性のみに依存しており、予め計算することができる。
フラット・パネルの製造では、場合によっては600×800×12mmのマスクが使用される。このような大型プレートを3点で支持し、十分に平らな表面を得ることは不可能である。このようなプレートは4点以上で支持しなければならず、運動学的に過剰拘束になる。支持点が完全な平面に位置していない場合、支持構造によって変形が生じることになる。その場合の変形は、重力によるたるみと支持構造による変形の組合せである。ひずみを生じることなくプレートを測定しなければならない座標測定機についても同じことが言える。
基本的な問題は、技術的な制約のため、パターン化されたワークピースを製造し、且つ、使用している異なる機械が異なる方法でワークピースを保持していることである。たとえば半導体レチクルのための反射型度量衡学システムには、通常、変形が最小になるように選択された3点支持が使用されているが、レチクルを使用しているステッパは、パターン化領域を露光する光を妨害しないよう、縁に沿ってレチクルを支持しなければならない。
ワークピース又はクランピング構造が理想的ではない場合、つまり平らではない場合、タイプが異なる設備のタイプが異なるクランピングによってワークピースの幾何学が不確実になる。
数値例として、標準の半導体レチクル(152×152×6.25mm)を4つの隅で支持すると仮定すると、ガラスが平らでないか或いは支持点が斜めになっているかのいずれかのため、4つの隅のうちの1つが他の3つの隅の平面から1μm外れることになる。ガラスの湾曲は、プレートの一方の対角線に沿った線が伸張し、もう一方の対角線に沿った線が収縮する原因になる。これは、プレート上の横方向の最大位置誤差が0.5μm×6.25/2mm/152mm=20nmのマスク上のパターンの直交性誤差と同じである。クランピングによって生じる誤差は、ドリフト、スケール誤差及びプロセスの影響などの他の誤差源に追加しなければならない。したがってこの20nmの誤差は、クランピング単独の誤差として許容することはできない。また、支持点が互いに接近して位置している場合、或いは5つ以上の拘束点が存在している場合、誤差はさらに大きくなる。本出願人らは、精選された4点でプレートを支持することは、大型プレートの場合、並外れて良好であり、3点支持の場合より偏向が20倍小さいことを見出した。本発明によれば、4点支持を使用することができ、また、過剰拘束されるプレートの影響を計算することができる。力がかかっていない状態での平面度が分かり、また、上部表面の高さが4点で測定されると、パターンの湾曲及び重力を修正するために必要なすべての情報が得られる。
本発明には、マスクを書き込み、マスクからパネル又はウェハに印刷するための設備を備え、また、マスク、ウェハ及びパネルを測定するための度量衡学システムを備えた多重機械環境におけるクランピング誤差を完璧に予測し、且つ、応力によって生じる誤差を部分的に修正する方法が工夫されている。
好ましい実施例の説明
マスク・ブランクは、ガラス製造会社で切断され、且つ、研磨される。表面の形状は、ガラス製品の品質等級に対応する最大誤差まで制御されている。クロムのスパッタ膜によってガラス・プレートにコーティングが施され、フォトレジストがスピン塗布される。本発明の好ましい実施例では、クロム塗布の前後及びレジストを塗布した後に、ガラスの前面及び裏面の平面度が測定される。ガラス材料の正確な厚さ及びヤング率などの他の補助情報と共にガラスの前面及び裏面の平面度マップが生成される。ガラス・プレートの各々は、クロムの塗布に先立ってクロム表面の周囲に刻印された連続番号を有しており、したがってガラス・プレートの寿命を通してその同一性を追跡することができる。連続番号は、クリア・テキストで、且つ、機械可読フォーマットで、たとえばレーザ・アブレーションによってガラス表面又はクロム膜に刻印される。また、ガラスの体積の内側に識別マークをレーザ刻印することも可能であり、クロム・コーティング中への磁気記録或いはガラス・プレートへのメモリ素子の埋込みなどの他のマーキング方法を使用することも可能である。刻印されたマーキングによる半導体ウェハの識別は、半導体業界では当然の行為であり、本発明においてもマスク・ブランクに適用されている。他の確実な任意の識別システムを使用することができ、たとえばマークが施され、且つ、バーコード・ラベルが振られた箱にマスク・ブランクを保管し、出荷することができる。
マスク・ブランク・メーカは、平面度データをマスク・ブランク毎にコンピュータに保管し、保管されたデータは、後にマスク・メーカにアクセスすることができるネットワーク上、たとえばインタネット・サーバ上で公表される。別法としては、このデータは、たとえばマスク・ブランクと共に出荷されるディスケット上或いは埋設メモリ素子上でマスク・ブランクを追跡することも可能である。
マスク・ブランクの平面度及び他の特性を測定する方法については当分野で知られている。平面度は、たとえばMoller−Wedel、Zygo及びTropel社製の干渉計を使用して測定されることがしばしばである。レジストの厚さは、分光リフレクトメトリ、エリプソメトリ及び他の光学方式を使用して測定することができる。クロムの厚さは、光透過率即ち誘導方式及びリフレクトメトリによるクロム層の反射率を使用して測定することができる。正確な厚さは、機械方式又は光学方式を使用して測定することができる。
半導体会社がマスク又はレチクルを注文する場合、マスクを書き込むステッパの連続番号が仕様書に書き込まれる。個々のステッパのクランピング・システムは、クランピングの方法を記述した幾何学データのファイルによって特性化されているが、それだけではなく、最も重要なことであるが、クランピング・システムの個々の不完全性が特性化されている。この情報は、マスク・メーカにアクセスすることができるネットワーク上で保管されるか、或いは注文書と共にマスク・メーカに送られる。また、マスク・メーカは、プロセスに関する情報、たとえばエッチング工程の一様性データを半導体製造者のコンピュータから引き出すことも可能である。別法としては、必須データとして、或いは個別文書として注文書類にこのデータを添付することも可能である。
通常、種類が異なる複数のマスク・ライタを所有しているマスク・メーカは、自身の書込みシステム及びプロセスのためのデータの同様のデータベースを有している。また、マスク・メーカは、ペリクル(最終マスクに張り渡される、埃を防止するように作用する膜)を適用することによってマスクがひずむ様子についての実験データを有している。
マスク・ブランク製造者によってマスク・ブランクにクロム及びレジストが塗布されると、膜に備わっている応力のため、マスク・ブランクが湾曲する。マスク・ショップでの処理中にレジストが除去されると、レジストによる応力が消失し、マスク・ブランクは、レジストを塗布する前の状態に復帰する。より重要なことは、クロムを部分的に除去することによってパターンが形成されると、クロム膜の応力が部分的に除去されることである。製造中におけるクロム膜の塗布及び書き込まれるパターンによってもたらされる湾曲に関する知識から、クロムをパターニングすることによるプレートの変形を予測することができる。
マスク・メーカは、書込みジョブを計画している間に、そのマスクを使用することになるステッパ又は露光ステーション、マスク書込み設備、マスク・ブランク及びパターンに関する適用可能な情報を入手する。誤差モデルを使用して総合的な組合せ誤差を計算し、任意選択でMEEF係数又は修正から最終パターンの結果に至る伝達関数に類似した関数を使用してその誤差を修正することができる。本発明の他のアプリケーションでは、収集した情報を使用して、多くのマスク・ブランク、書込みシステム及びプロセスの中から選択することができる。たとえばマスク上の異なる領域を占有するパターンを一様にするためのブランクの選択は、単純な例の1つである。当然、本発明の原理は、最終結果(「出力」)がチップであれ、ディスプレイ・パネルであれ、或いは正にマスクであれ、それらに無関係に同じである。
これには、クランピング幾何構造、クランピング構造の不完全性、さらに、ツール及び後続する処理によってもたらされる他の既知の画像ひずみに関する幾何学情報が含まれている。
マスク・ライタ
好ましい実施例では、マスク・ライタは、パターニングから使用に至るプロセス連鎖によって生じるひずみを修正するためのいくつかの設備を有している。先ず、マスク・ライタは、レーザ干渉計によって制御される、一様な収縮又は膨張に対応し、且つ、場合によっては自蔵膜応力によってもたらされる、個々の軸を横切る一様な湾曲に対応するxスケール及びyスケールを調整することができる精密ステージを有している。第2に、マスク・ライタは、サーボの駆動を修正することによって、また、ソフトウェアによって直交性ひずみ及び台形ひずみを調整する手段を有している。バレル歪曲、ミラー彎曲及び座標系の不規則性などのより高次の誤差に対しては、マスク書込み機は、サーボ・システムに位置依存オフセットを送り出すxy修正マップを有している。情報収集及び誤差予測システムは、書き込まれるマスク毎に一様なスケール及びxyマップをマスク・ライタに送り出す。前記マップは、すべての既知の誤差を修正するために必要なパターン修正量である。当然、これらにはマスク・ライタ自体のステージ誤差が含まれている。
マスク・ライタは、さらに、書き込むべき特定のタイプのマスク・ブランクに適合されたクランピング構造を有している。一実施例では、3つのサポート上にプレートが置かれ、したがってマスク・ライタ内のプレートの変形はプレートの形状に無関係であり、容易に計算することができる。最も正確に修正する場合、サポートは、マスクを使用している設備の同様のサポートと全く同じように配置され、たとえば隣接する2つの隅の近傍及び反対側の中心に配置される。
多くの場合、マスク・プレートを3点で支持することはできない。とりわけ大型のマスクの場合、4つ以上のサポートを有する必要がある。その場合、支持構造及びプレートの両方が完全に平らでない限り、曲げモーメントが生じないようにマスクを保持することは不可能である。一実施例では、理想的なプレート/支持構造の組合せによってサポートに加えられる力が理論的に引き出され、個々のサポートにかかる力と理論的な力が一致するまでサポートの幾何構造が調整される。他の実施例では、プレートの非平面度が予め分かり、曲げ力が最小になるようにクランピング構造の幾何構造が修正される。重力によるたるみによってもたらされる変形などの他の変形及び後続するプロセスによるひずみが計算され、修正される。
他の実施例では、支持構造は、調整可能ではないが幾何学的に特性化されており、したがってプレートの非平面度とクランピング幾何構造の組合せから特定のプレートの湾曲を計算することができる。
他の実施例では、マスク書込みシステム自体が表面の平面度などの修正に必要なパラメータを測定するように適合されている。マスク・ライタでのクランピングの後に平面度を測定する場合、測定したデータを複数の方法で使用することができる。第1に、測定したデータを使用してクランピング構造のモデルをチェックすることができる。第2に、基板の平面度データと組み合わせた表面平面度から正確な形状及びクランピング変形を計算することができるため、測定したデータをステージ平面度の詳細な知識に置換することができる。利用可能な平面度データは存在しないが、プレートがステージ及び/又は重力によるたるみより平らであることが分かっている場合、平面度からの測定偏差は実際の変形を表しており、大まかな修正に使用することができる。
また、レジストの厚さなどの他のパラメータの測定をマスク・ライタに統合し、修正に必要な情報をマスク・ブランク・メーカには無関係に提供することができ、或いはインハウス・スパン・プレートと共に使用することができる。
本発明は、走査レーザ・ビーム、空間光変調器又は粒子線を使用している、タイプが異なるマスク・ライタに使用することができる。
露光ステーション
マスク書込み設備と同様、マスクを使用してワークピースにパターンを印刷する露光ステーション即ちステッパも、露光工程における画像ひずみ及び後続するプロセスにおけるそりなどの多くの誤差を有している。好ましい実施例では、マスクは3つのサポートによって支持されており、重力によるたるみ以外に、クランピングによって余計な曲げ力が生じることはない。他の好ましい実施例では、他の設計制約が運動学的に過剰拘束によるマスクのクランプ、即ち4点以上の支持を使用したマスクのクランプを余儀なくしている。不完全な幾何構造によって生じる曲げ力によるパターンのひずみは、マスク・ライタの場合と同様、クランピング構造を修正することによって相殺することができ、或いはマスクの予測及び事前修正によって相殺することができる。
露光ステーションの幾何構造及び誤差は、特性化され、機械パラメータ・ファイルとして保管される。この機械パラメータ・ファイルには、実際の物理マスクの誤差を計算するための十分な情報及びマスクを印刷する方法が含まれている。このファイルは、識別情報及びブックキーピング情報とは別に、サポートの数、それらのxyz座標及びコンプライアンス、任意選択でばね荷重、さらには機械の座標系のひずみ等を含むことができる。
また、露光済みのワークピースが後続する処理によってひずむ様子を記述した誤差マップを含んだプロセスひずみファイルを有することも重要である。高温の工程で場合によっては数十ppm収縮するTFT−LCDディスプレイのガラス・パネルは、その一例である。
度量衡学ツール
マスク及び露光済みのワークピースは、たとえばLeica及びNikon社から商用的に入手することができる座標測定システムを使用して測定される。これらの度量衡学ツールも、機械幾何構造ファイルに記述することができるクランピング幾何構造及び自蔵誤差を有している。度量衡学ツールの誤差がマスクに直接影響することはないが、度量衡学ツールを基準にして他のすべてのシステムが較正されるため、度量衡学ツールの誤差は間接的に影響している。
曲げ力の計算
マスク・ブランクは、その幾何構造が単純であり、高品質の水晶又はガラスでできている。したがって、機械設計の専門家には良く知られているように、単純な有限要素モデルを使用して表すことができる。プレートのサイズと比較するとすべての誤差が小さく、結果として生じる誤差は、異なる誤差源によるひずみを線形重畳することによって計算することができ、たとえば重力によるたるみ及びクランピングによる湾曲は加法的である。この計算によって、異なる湾曲モードの分解による弾性ガラス・プレートの解析方法が単純になる。これは、マスク・ライタに埋め込まれたコンピュータ上での実時間修正に有利であるが、適切なメモリ及び能力を備えた埋設コンピュータ上での全有限要素シミュレーションの実行或いはオフライン・ワークステーションでの実行も同様に可能である。
サポートの幾何構造及びプレートに対する重力の力の配向を備えた機械パラメータ・ファイル、及びプレートの幾何構造、平面度及び弾性特性を備えたプレート・パラメータ・ファイルを与えると、コンピュータは、有限要素モデルを使用して、プレートの形状、プレート表面のパターンのひずみ及び支持点の接触力を見出すことができる。
多くの支持点が存在している場合、平らではない特定のプレートが実際にすべてのサポートと接触しているかどうかは事前には不明である。しかしながら、接触している支持点を見出すことは可能である。原理的には、解答に自己矛盾があってはならない。可能ばね荷重の効果を含む正の接触力が存在している場合、プレートは支持点と接触している。また、この方法によれば、接触点のアレイによって平らな表面を表すことにより、マスク・ブランクと平らな表面の間、たとえば平らなステージの頂部との間の大まかな接触面積を見出すことも可能である。
また、弾性プレートの線形性及び単純な幾何構造のため、ワークピース上の多数の定義済み位置における力によって生じるワークピースの変形を表す一組の単純な連立一次方程式を引き出すことも可能である。他の位置は、基本計算ポイント間の補間によって処理することができる。連立方程式は、幾何学ファイルの中に与えられている幾何学的制約に対して解かれ、曲げ力が引き出される。これらの曲げ力は、支持点が配置される位置のみに依存する重力によるたるみに加えられる。
他のマスク・ブランクに関連する誤差の修正
レジストの厚さ、クロムの厚さ及びクロムの反射率などのマスク・ブランクの他の特性は、画像の品質に影響する。これらの特性は、平面度の測定と同じ方法で測定することができ、或いは実際に同じ設備を使用して測定することができる。
修正の実施
誤差が予測され、且つ、適切な修正量が計算されると、主として2つの異なる方法がそれらに適用される。パターン・データが修正され、たとえば個々のフィーチャの隅のポイントが移動されるか、或いは配置のための位置サーボ及び変調器又は強度制御のための光源などの書込みハードウェアに修正量が供給される。前者の方法は、より汎用的であり、原理的には任意の大規模な修正が得られる。また、前者の方法は、セリフ及び同様のフィーチャを含む形状修正のための唯一の可能代替である。修正量が少なく、且つ、緩やかに変化する修正の場合、解像度が干渉計の解像度であり、且つ、アドレス・グリッドの解像度を有しているのはデータの修正のみであるため、第2の方法によってより円滑な修正が得られる。第2の利点は、マスク・ブランク及び機械に固有の知識を必要とすることなく、また、書込み時に適用される修正を必要とすることなく、オフラインでデータを準備することができることである。しかしながら、パターンの密度が極めて高い場合、いずれにしても実時間でデータを準備しなければならないため、修正がパターンに適用されるにせよ、或いは書込みハードウェアに適用されるにせよ、ロジスティックスにほとんど差はない。パターンの修正は完全に柔軟であり、配置、サイズ及び形状の修正に適用することができ、修正のサイズ又は複雑性に制限はない。
実時間パターン修正
処理能力の高い実時間データ経路の場合、書込み時に、他のすべての修正と共に、ビーム・サイズ効果又は解像度効果及び迷露光に修正を適用することが可能であり、且つ、有利である。典型的な実施態様では、場合によってはデータ準備における異なる工程を実行するグループに編成された、最大数百個のCPU及びASICを備えたずらりと並んだ並列プロセッサが存在している。たとえばクランピングひずみに対する緩やかに変化する修正は、作業負荷又はデータ・フローに重大な影響を及ぼすことはないが、解像度効果、ビーム・サイズ効果及びストレイ効果の修正には、莫大な量の余剰データの生成が伴うため、そのデータ・フローに整合する処理能力が必要である。しかしながら、正にそのために実時間修正という大きな利点がある。このデータの量は、オフライン修正で処理することは困難である。また、修正データを全書込み容量でマスク・ライタに供給するためには同様に強力なコンピュータが必要であるため、マスク・ライタが本質的に1日に24時間稼動している商用マスク・ショップの場合、修正をオフラインで実施する費用便益は存在しない。
有限解像度を補償するためのパターン修正の一般概念については従来技術で知られている。本発明によれば、修正は、実時間処理の一部として実時間で実施される。解像度効果、ビーム・サイズ効果及びストレイ効果を実時間で修正する場合、マスク・ライタの埋設プロセッサ・バンク上でアルゴリズムを走らせることが好ましい。制御システムは、画像形成とパターンの間の相互作用のためのパラメータ、たとえば点広がり関数又はマスク・ライタ及び露光システムのビームを表す一連の重畳ガウス・プロファイル、或いは修正のための規則系のみを供給する。典型的な規則は、パターン内のすべての外側の隅に0.16□mセリフを追加することである。
マスク・ライタの画像化特性の修正は、その特定のマスク・ライタ及びプロセスにしか当てはめることができないが、変化しないパラメータを使用して分かり易く実施されることが好ましい。パラメータがセット・アップされると、ユーザがすることは、入力データをより正確に表現しているマスク・パターンを確認することのみであり、修正を見守る必要はなく、また、修正について知る必要もない。
露光ステーションのパターン修正は、マスク・ショップとチップ又はパネルの製造者との間で共同で実施されることが好ましい。製造者は、モデル・パラメータを引き出すために設計されたいくつかの試験パターンを印刷する。モデル・パラメータが設定されると、修正が明らかになり、すべての設計に自動的に適用される。本発明による情報システムは、書込みジョブがセット・アップされると、クランピング・データ及び画像ひずみと共にこれらのパラメータを入手する。或いは別法として、製造者は、他の修正パラメータ・セットを明瞭に提供することができ、或いは製造者自身の修正モデルを使用してデータを直接修正することができる。しかしながら、本出願人らは、画像修正モデルの設計、試験パターンの設計及びソフトウェアの抽出は専門家顧問会社の使命であること、また、製造者は明瞭な方法でシステムを使用することができることを確信している。本発明による情報システムは、このような分かり易い操作を可能にし、且つ、便利にするフレームワークを提供している。
図9は、パターン修正と書込みハードウェア制御の両方を使用した、本発明に適した誤差修正システムの典型的な実施態様を示したものである。書込みハードウェア901は、パターン904の低レベル表現、たとえばビットマップ又は台形などの微小面積基本形状への分解を使用してマスク・ブランク903上にパターン902を印刷する。低レベル・フォーマットは、充填多角形のリストなどのパターンの幾何学的記述を含んだ高レベル入力905を使用して幾何学データベースから生成される。入力フォーマットは、任意の形状を有することができる幾何学フィーチャを任意の順序で含むことができるため、これらのフィーチャは、外部ファイル・インタフェース913から多数の並列プロセッサ908に分配されると(907)、中間フォーマット906に予備処理される。変換ステップ毎にデータの量が増加し、必要な処理能力が高くなる。したがって最終的な処理には、予備処理より多くの並列処理ユニット909が必要である。誤差修正システムは、収集した誤差データから誤差を予測し、且つ、誤差修正システムのモデル及び規則セットに基づいて適切な事前補償を計算する制御ユニットを有している。微小で緩やかに変化するサイズ誤差及び配置誤差の修正量は、書込みハードウェア、とりわけ線量制御及び位置サーボに送られる(912)。パターン修正量は、修正規則の形態でデータ経路インタフェース913に送られ、埋設コンピュータ・バンク908上及び909上で実行される。このパターン修正は、異なる形態を取ることができ、また、複数の修正アルゴリズムを異なる変換ステップで実行することができる。たとえばマスク・ジェネレータのビーム・サイズ効果の修正は、変換の最後の段階での実行に適しており、一方、ステッパの画像化効果を補償するために必要なより大きいセリフは、予備処理ステップの間にパターンに加えられる。
効率の観点からすると、パターンの個々の領域毎に異なるプロセッサを動作させることが適切であるが、非局部画像化効果に修正を適用するためには、プロセッサは、そのプロセッサが実際に動作しているポイントの周囲の領域のパターンを知る必要がある。したがって部分的に重畳する計算視野にパターンが切断される。重複情報は、修正及び使用後の廃棄のためにのみ使用される。たとえば迷露光を修正するために非局部情報をより高速で処理する場合、より小さい解像度で一時的なパターン表現が生成され、それを使用して背景露光が計算される。単一の時間に複数の低解像度表現を使用して、異なる長さ尺度で事象を表現することができる。
一実施例では、2つの処理ステップが、ずらりと並んだ本質的にパターン修正専用の個別のプロセッサ上で実行される第3のステップと相補をなしている。
本発明の好ましい実施例では、位置及びサイズのための2つの修正マップが計算される。位置マップは、格子状の点に与えられるx偏差及びy偏差を含んだテーブルの形態を有している。所与のステージ位置に対して、修正マップ内の補間によって対応するs修正及びy修正が計算され、計算結果がオフセットとして位置サーボに供給される。これと同じ方法を使用して、CDマップ内の補間によってCD誤差の修正量が見出される。
異なる実施例では、修正マップが計算され、データ経路が利用できるように構築される。パターン・データベースからハードウェア駆動信号への変換中に、位置及びサイズの両方が修正される。ストライプ編成書込み戦略を有する好ましい実施例では、位置及びサイズの修正は、パターンがストライプに分割される直前の破砕工程で実施される。パターン・エレメントの渦点が補間修正マップに従って単純に移動される。ほとんどのパターン・エレメントは、軸に対して平行の縁を有しており、修正後は斜めの線を有することになるが、通常、修正の大きさが1パート・パー・ミリオン以下の程度であるため、いくつかの縁を除くすべての線は、それらの座標がデータ準備ソフトウェアの解像度に短縮され、たとえば0.1nmになった後でも、依然として軸に対して平行である。これらの座標は、書込みに先立ってさらにマスク・ライタのアドレス・グリッドまで短縮され、たとえば特定の仕様レベルの半導体レチクルでは4nmに短縮される。書き込まれたパターンは、修正マップの滑らかな曲線を有し、アドレス・グリップにスナップすることになるが、誤差統計量に対する寄与は、アドレス・グリップを適切に選択することによって無視することができる程度になる。
情報システム
情報システムは、マスク・ブランク・メーカから最終製品のユーザに至る連鎖におけるリソグラフィ誤差を管理するように構築されている。情報システムの中枢はマスク・メーカであり、ジョブを計画している間、誤差を予測し、且つ、予測した誤差を事前補償するためのあらゆる情報が収集され、使用される。
複数のパーティ、たとえばマスク・ブランク・メーカ、マスク・ショップ及びマスク・ユーザが包含されている場合、情報を編成するための便利な方法は、個々のパーティにそのパーティ独自の情報を維持させ、且つ、そのパーティ独自のコンピュータ・システムにその情報を記憶させることである。コンピュータは、マスク・メーカのコンピュータから、電話回線、ISDN、高速リンク又はインタネットを介した遠隔アクセスによってアクセスすることができる。後者の場合、情報が完全なものであり、変更のない、適切な送り主によって発行されたものであることをその情報の使用者に分かるよう、情報の保全性が検証されることが重要である。包含されているパーティが情報を機密にすることを要求する場合もある。近代の通信リンクでは、これらはすべて、パスワード、暗号化、チェック数字及びディジタル署名を適切に使用することによって保証される。
作業の流れ
必要な修正を見出すことは、系統的な位置決め誤差を伴うことなく特定のレチクルを印刷することになるマスク・ショップの責任である。顧客即ちマスク・ユーザは、1つ又は複数のパターン・ファイル及びあらゆる特殊処理、度量衡学等を要求した他の書類を提供する。本発明の好ましい実施例では、マスクを使用することになる露光ステーションが注文書に明記され、また、任意選択でプロセス誤差の記述が注文書に明記される。ジョブを計画している間及びマスク・メーカのコンピュータをセット・アップしている間、マスク・ユーザからのデータがアクセスされる。データには、既知の不完全性及び画像ひずみと共に露光ステーションの幾何構造が明記されている。また、機械ファイルには、任意選択で、露光工程で生成されるサイズ誤差のマップが含まれている。プロセス・ファイルが注文書に明記されている場合、そのプロセス・ファイルには、後続する処理によって生じるワークピースの湾曲が明記され、また、任意選択で、プロセスによってもたらされるサイズ誤差が明記されている。たとえばプラズマ・エッチング工程は、「ローディング」即ちプラズマに露出されるパターン領域の局部密度に敏感であり、チップの縁に沿って別様にエッチングされる。これは、多かれ少なかれすべての設計で繰り返される系統的な挙動であるため、前記マスクに関する情報を使用してマスク内で部分的に修正することができる。
書込みジョブの計画に際しては、マスクにマスク・ブランクが割り当てられる。コンピュータは、マスク・ブランク・メーカのデータ記憶装置又は保管中のマスク・ブランクに関する情報ファイルを備えた局部記憶装置にアクセスする。入手した情報ファイルは、マスクを書き込むために使用することになる特定のマスク・ブランクに対応しており、正確なサイズ及び厚さ並びに関連する物理材料特性に関する情報が含まれている。また、この情報ファイルには、平面度データ、レジストの厚さ、クロムの厚さ及び反射率が含まれており、また、クロム及びレジストの自蔵応力によってもたらされる曲げ力に関連するデータが含まれている。このスキームは、当然、使用される材料には全く無関係であり、同様の方法で既存の他のタイプのマスク・ブランク或いは未来のマスク・ブランクを処理することができる。
データ・ファイル・フォーマット
データ・ファイル・フォーマットは、キーワードを使用してデータ・ファイルにタグを振ることによって拡張することができるように設計されている。新しいキーワードを定義した後に新しいフィーチャを包含することができ、尚且つ古いデータ・ファイルを両立させることができる。単純にするために、好ましい実施例では、データ・ファイルはASCII文字ファイルである。このASCII文字ファイルによってデバッギングが単純になり、また、任意のテキスト・エディタ又はスプレッドシート・プログラムを使用してファイルを修正し、或いは作成することができる。ファイルは、うかつなファイル変更の危険を回避するためにチェックサムによってロックされており、また、ディジタル署名によって検証される。機械作成ファイルの場合、これらのチェックサム及びディジタル署名は自動的に作成されるが、人手による編集ファイルの場合、専用の検証プログラムを使用してチェックサム及びディジタル署名を追加しなければならない。専用の検証プログラムを使用することにより、機密保護及び柔軟性に富んだエンジニアリング並びにデバッギングの間に合理的なトレードオフが提供される。暗号化を使用する場合、市販の任意の暗号化プログラムを使用することができる。
本発明による方法の実施例を使用したシステムの実施例
次に、本発明の一実施例によるひずみ制御を備えた大型ディスプレイを製造するためのシステムについて、より詳細に説明する。
図10を参照すると、本発明による大型ディスプレイ・パネルを製造するためのシステムの一実施例が示されている。このシステムは、従来のCRT(陰極線管)ディスプレイ用のシャドウ・マスクを製造するために使用することも可能であるが、TFT(薄膜トランジスタ)、CF(カラー・フィルタ)、PDP(プラズマ・ディスプレイ・パネル)又はPALC(プラズマ・アドレス液晶)ディスプレイの製造にとりわけ有用である。
このシステムは、入力データに基づく所定のパターンを備えたマスクを製造するための第1のマスク製造手段1001を備えている。このマスク製造手段は、高い精度で感光性基板に書き込むためのマイクロリソグラフィ書込みデバイスであることが好ましい。書込みという用語は、フォトレジスト及びフォトグラフィ乳濁液の露光だけでなく、光又は熱によって活性化されるアブレーション又は化学プロセスによるドライプロセス・ペーパなどの他の感光性媒体に対する光の作用を意味するものとして広義に理解されたい。この場合の光は、可視光のみを意味しているのではなく、赤外線から極UVまでの広範囲にわたる波長の光を意味している。このようなマスク製造装置は、たとえば同じ出願人によるEP 0 467 076によって既に知られている。通常、マスク製造装置は、レーザなどの光源、光ビームを収縮させるための第1のレンズ、入力データに従って制御される、書き込むべき所望のパターンを生成するための変調器、ビームを基板に向けて導くための反射ミラー、及び基板に到達する前にビームを収縮させるためのレンズを備えている。ミラーは、基板上の走査線に沿ってビームを掃引させるための走査オペレーションに使用される。ミラーの代わりに、回転ポリゴン、回転プリズム、回転ホログラム、音響光学偏向器、電気光学偏向器、ガルバノメータ又は類似した任意のデバイスなどの他の走査手段を使用することも可能である。また、ラスタ走査変調器又は空間光変調器を使用することも可能である。また、基板は、光学書込みシステムに対して2つの直交方向に2つの電気サーボ・モータによって移動する対物テーブルの上に配置されることが好ましい。
本発明によるシステムは、さらに、光を使用して感光性パネル基板を露光し、且つ、マスクを使用してマスクのパターンを基板に付与するためのマイクロリソグラフィ露光手段1002を備えている。したがって前記基板は、前記光に敏感な層を有している。いくつかのこのような露光手段についても、当分野で既に知られている。露光手段は、接触コピー型の手段、近接露光型の手段又は投影型露光装置であってもよい。本発明によるシステムは、ダイレクト・ライタに使用することも可能であり、この場合、補償は、物理マスクの中ではなく、書込みビームを制御するデータ・マッピングの中で実施される。TFT及びCFディスプレイ・パネルの場合、通常、投影型露光装置が使用され、また、PDP及びPALCの場合、接触型又は近接型が頻繁に使用される。
このシステムは、さらに、基板上のパターンを測定し、且つ、入力データによって与えられる意図するパターンに対する偏差を検出するための測定手段1003を備えている。これは、好ましくはいくつかの基準位置におけるパターンの幾何学位置を測定して、いわゆる位置決めマッピングを入手し、且つ、この位置決めマッピングと、入力データから推測することができる意図するパターンとを比較することによって実施される。また、パターン内の線の幅、いわゆるCD(臨界寸法)を測定することも可能である。測定設備は商用的に入手することができ、たとえば測定設備はCCDカメラを備えることができ、或いはインターフェロメトリに基づくものであってもよい。
測定手段1003から第2のマスク製造手段1004へひずみ制御信号が送信される。この第2のマスク製造手段は個別の装置であってもよいが、第1のマスク製造手段1001と同じであることが好ましい。この第2のマスク製造手段には、書き込むべき意図するマスク・パターンを記述した入力データが供給される。また、第2のマスク製造手段には、測定手段1003からひずみ制御信号が供給される。したがって第2のマスクを製造するための書込みプロセスを制御することによってパターンが修正され、それにより測定した偏差が補償され、延いては製造ひずみが補償される。この測定は、後続するパネル処理工程、つまり現像、ブラスチング及び/又はエッチングが実施された後にも実行されることが好ましく、それにより、補償に際して、これらのプロセスによって生じる系統的誤差が同じく考慮される。
マスク・ライタ内における補償は、様々な方法で達成することができる。対物テーブルが低速ストライプ方向に連続的に移動し、スキャナが高速走査方向に掃引する、上で説明したタイプのライタの場合、表面のマッピングに従って補償を実行することができる。このマッピングに従うことにより、たとえば走査中にビームの開始時間をずらすことによって走査方向の補償を達成することができる。ストライプ方向の補償も、異なるランプ関数によって直接的又は間接的に時間をずらすことによって実行することができる。また、このような補償を達成するための可能な他の方法も存在している。たとえば、対物テーブルのサーボ・モータを制御することによって、スキャナの時間依存角度を調整することによって、入力データを変更することによって、或いは圧電制御ミラーなどの内部制御ユニットを制御することによって補償を実行することができる。
しかしながら、ダイレクト・ライタを使用する場合、同じタイプの補償を実時間で実行することができる。
線幅CDの偏差の補償は、位置決めの偏差と同じ方法で達成することができる。しかしながら、この偏差の補償は、レーザの出力を変更することによって、或いはアナログ変調器を持たせることによって書込みビームの出力即ち露光線量を変更することによっても実行することができる。この補償は、従来、線量を制御するための適合線量マッピングによって達成することが可能であった。
第2のマスクを同じ露光手段1002に使用する場合、異なる温度条件に依存するあらゆる系統的誤差、露光手段の誤差等が補償され、製造されるディスプレイ・パネルのパターンの精度が著しく向上する。
第1のマスクは、第2のマスクに対して意図されているパターン、つまり補償されていないパターンと同じパターンを含むことも、或いは偏差を測定することのみが意図された基準パターンを含むことも可能である。
また、誤差データを蓄積することができ、回転手段の値を使用して補償することも可能である。また、誤差補償は、いくつかの異なる部分誤差補償の組合せであってもよい。これらの部分補償は、プロセスの前提事項、たとえば使用されているステッパ及びガラスの種類に基づくことができる。したがって総合的な誤差補償は、プロセス工程毎の1つ又は複数の誤差補償の組合せであってもよい。
以上、受動ひずみ制御のためのシステムについて説明した。このシステムでは、プロセス及びシステムに使用されている設備に対する補償が実行される。しかしながら、この補償は、異なるパネル基板に対しては適合されていない。この受動システムの場合、ひずみ補償を変更するための測定は、基板の新しいバッチ毎に1回実施されることが好ましく、その後に同じマスクを使用してそのバッチのすべてのパネルが製造される。この受動ひずみ制御は、TFT又はCFディスプレイの製造にとりわけ有用である。TFT又はCFディスプレイを製造するためのマスク上のパターンに対する要求精度は極端に高く、マスクの製造が極めて困難であり、したがって高価である。一方、TFT又はCFディスプレイの製造においては、マスクは長時間にわたって長持ちする。
本発明によるシステムは、露光に先立って、基板上の光に敏感な層の厚さを測定するための第2の測定手段1004を備えることも可能である。この場合、前記補償に同じく前記測定が使用される。したがって、補償は、基板の異なるバッチ間で変化するレジスト層に適合される。このようなバッチに対する補償も、製造者によって明記されるデータを使用して達成することができる。
また、この第2の測定手段1004を使用して、露光が意図されているパネル基板毎にすべてのパネル基板を測定し、その後に個々のパネル毎にプロセスを適合させることも可能である。したがってシステムは、パネル毎のガラス品質の変化、基板領域のレジスト又は乳濁液の厚さ及び品質の変化、異なる形状変化等を保証することができる。この能動ひずみ制御は、マスクの製造が比較的容易であり、且つ、安価なPDP又はPLACディスプレイ・パネルの製造にとりわけ有用である。この方法は、ダイレクト・ライタに使用することも可能である。
能動ひずみ制御の場合、最初にパネルが測定され、たとえばレジストの厚さが測定される。当業者には、たとえば試験露光、線量が異なる基板のプロフィロメトリ、インターフェロメトリ、共焦点顕微鏡分析、干渉法等による線量測定などの多くのこのような測定方法が利用可能である。また、基板の形状も最初に測定することができる。基板の形状測定は、モアレ干渉法、投影フリンジ、レーザ三角測量、常干渉法などの知られている方法を使用して達成することができる。パターンが既に存在している場合であっても、このような既存のパターンが同じく最初に測定されることが好ましい。ディスプレイ・パネルは、通常、複数の個別の工程、典型的には3つないし7つの露光工程で露光され、通常、同じ露光ステーションを使用してすべての露光が実施される。個々の誤差が補償されたマスクを異なるステーションで書き込むことにより、ディスプレイ製造者は、どのステーションを使用するかには無関係に、より自由に生産計画を立てることができる。これは、生産をより効率的にし、且つ、ステーションをより有効に利用するためには極めて重要である。
次に図11を参照すると、本発明による大型ディスプレイ・パネルを製造するための方法が示されており、以下、上で言及したシステムを使用して説明する。
本発明による方法には、入力データに基づく所定のパターンを備えたマスクを製造する第1のステップS1が含まれている。次に、ステップS2でこのマスクを使用して感光性基板が光にマイクロリソグラフィ露光され、マスクのパターンが基板に付与される。したがって前記基板は前記光に敏感な層を有している。次に、ステップS3で露光済みパターンが測定され、場合によっては後続するいくつかの処理工程を経た後に測定され、さらには最終製品の露光済みパターンが測定され、入力データによって与えられる意図するパターンに対する露光済みパターンの偏差が検出される。次にステップS4で、後続するステップS5(入力データに基づくパターンを有する第2のマスクを製造するステップ)で使用されるひずみ制御マッピングが作成され、測定した偏差が小さくなり、延いては製造ひずみが補償されるように修正される。次に最後のステップS6で、この第2の修正マスクがディスプレイ・パネルのフォトリソグラフィ製造に使用される。補償をデータ・マッピングの中で実施することができるダイレクト・ライタに同様の補償を使用することができる。
線量補償
フォトマスクの場合、CDの一様性は場合によっては重要な仕様である。最終結果は、場合によってはパターン・ジェネレータ設備の一様性と処理設備の一様性の組合せになる。
レジスト被覆プレートは、パターン・ジェネレータ内でのマスク露光の後、異なる処理システム、たとえばホット・プレート、レジストを現像するための設備、及びクロムをエッチングするための設備を使用して処理することができる。処理設備工程によって、処理設備の物理的な設計に起因する系統的なCD変化、たとえば円筒状対称がプレートにもたらされることがある。
CDに対する処理設備の寄与が常に一定である場合、符号が反対のCD修正を導入することによって、プレートを印刷している間に、パターン・ジェネレータ内で最終マスク上のCD一様性の総合的な結果を改善することができる(プロセス補償)。
上で詳細に説明したように、パターン・ジェネレータ内でのプロセス補償は、印刷されるパターン自体を修正することによって、パターンを生成するために使用されるデータ経路内で直接生成することができる。また、同じく上で説明したように、別法として、パターン・ジェネレータ内でのプロセス補償は、たとえば露光線量などの他の包括的パラメータを変更することによって、プレートを印刷している間に間接的に生成することができる。CDは、たとえば線量の関数である場合もある。
書込み原理、SLMライタ
SLMライタは、プログラム可能マスクを備えたDUVステッパとして動作させることができる。したがって、一連の二次元投影SLMスタンプとして画像全体を生成することができ、個々のSLMスタンプは、継続期間が短い個別のレーザ・フラッシュを使用して印刷することができる。SLMスタンプに対する系統的CD誤差を実質的に小さくする、パスとパスの間にオフセットを含んだ多重パス印刷を使用することができる。
個々の個別SLMスタンプは、全15.24センチ(6インチ)プレートと比較すると微小であるため、包括的CDは、線量システムを使用して、線量マップを使用して修正することができる。
図13に示すように、マスク上のxy位置を関数とした線量マップは、たとえばSLMのグレー・レベルを使用して、或いはレーザの線量制御を利用したプログラム済み包括的線量プロファイルを使用して生成することができる。露光サイクルの間、一定の速度でxステージを移動させることができる。図13に示すように、1つ又は複数の干渉計に適切な位置でトリガ・パルスを発生させ、システムのマスタ・トリガとして作用させることができる。
データ経路/SLM及びレーザは、いずれもこのマスタ・トリガによって制御することができる。線量マップ(x、y)を使用したプロセス補償は、SLM又はレーザ線量制御を使用して実施することができる。
図14aは、本発明の一実施例による(2D)円筒状CD分布の一実施例を示したものである。図14aに示すように、線量補償は提供されていない。
図14bは、円筒状CD分布の一実施例を示したものである。図14bに示すように、線量補償が提供され、CDが補償されている。
図14cは、包括的線量補償を使用した円筒状CD分布の一実施例を示したものである。図14bに示すように、線量補償が提供され、CDが補償されている。
図14dは、SLMのグレー・レベルを使用して実施することができ、或いはレーザの線量制御を利用して実施することができるプログラム済み包括的線量マップの一実施例を示したものである。
上で説明したように、本発明の実施例は、誤差を予測及び/又は測定し、且つ、その誤差を修正することによって精度を改善することができる。同じく上で説明したように、本発明の実施例は、パターンを生成するために使用されるデータ経路内で直接補償することによって、且つ/又は他の包括的パラメータ、たとえば露光線量を変更することによって間接的に補償することによって精度を改善することができる。同じく上で説明したように、本発明の実施例は、修正マップ又は修正プロファイル中の修正情報を維持することによって精度を改善することができる。
上で説明した実施例は、単に本発明の実施態様の例にすぎず、本発明をそれらに限定してはならない。たとえばガラス上クロム・マスクについての上記の説明の内容は、他の材料を使用して構築されたマスク、たとえば他の金属の被覆材、酸化鉄、ダイヤモンド様炭素、多層被覆材等を使用して構築されたマスク、及びフッ化カルシウム、Zerodur、ケイ素などの他の基板材料を使用して構築されたマスク、並びに透過型及び反射型マスクにも等しく適用することができ、また、散乱によって動作するマスクにも等しく適用することができる。また、上記の説明の内容は、粒子線及びx線リソグラフィのためのステンシル・マスク及びメンブラン・マスクにも適用することができる。
将来のリソグラフィと配置(位置決め)及びサイズ(CD)に対する要求事項の予測を示すグラフである。 プレートたとえばマスク基板を示す図である。 2つの端部で支持された同じプレートと、プレートが湾曲してもその長さを維持する中立層の上側の収縮応力及び中立層の下側の引張り応力を示す図である。 プレートの湾曲によって生じる横方向の変位及びその変位と表面のdz/dxが関係している様子を示す図である。 プレートのサイズ及び厚さを関数とした図1bに示す構造の横方向の最大変位を示すグラフである。陰が施された領域は、結果として生じた誤差を示している。 視野が異なるツール、いわゆるミックス・アンド・マッチ・リソグラフィを使用して半導体ウェハ上に形成されたダイを示す図である。 視野が異なるツール、いわゆるミックス・アンド・マッチ・リソグラフィを使用して半導体ウェハ上に形成されたダイを示す他の図である。 視野が異なるツール、いわゆるミックス・アンド・マッチ・リソグラフィを使用して半導体ウェハ上に形成されたダイを示す他の図である。 本発明による制御方法を示す略図である。 モデルの開発及びそのモデルを使用して誤差を予測し、且つ、修正する方法を記述した流れ図である。 図5に示す制御方法をより詳細に示す図である。 異なるタイプの誤差によってプレート及び/又はパターンが影響を受ける様子を示す図である。 パターン修正と書込みハードウェア制御の両方を使用した、本発明に適した誤差修正システムの典型的な実施態様を示す図である。 本発明の一実施例によるシステムの略図である。 図10に示すシステムに使用される方法を示す略流れ図である。 図5に示す実施例における修正をより詳細に示す略図である。 本発明の他の実施例によるプログラム可能マスクを備えたSLMライタ及びDUVステッパを示す図である。 本発明の他の実施例による二次元(2D)円筒状CD分布の一実施例を示す図である。 本発明の他の実施例による円筒状CD分布の一実施例を示す図である。 本発明の他の実施例による包括的線量補償を使用した円筒状CD分布の一実施例を示す図である。 本発明の他の実施例による、SLMのグレー・レベルを使用して実施することができ、或いはレーザの線量制御を利用して実施することができるプログラム済み包括的線量マップの一実施例を示す図である。

Claims (9)

  1. ワークピース上にパターンを印刷する際のプロセスの変化を補償する方法であって、
    前記ワークピース上に印刷された前記パターン内の二次元CDプロファイルを決定するステップと、
    前記二次元CDプロファイル内の変動を等化するための二次元補償ファイルを作成するステップと、
    前記二次元補償ファイルでワークピースをパターニングするステップと
    を含む方法。
  2. 前記決定ステップが前記二次元CDプロファイルを予測するステップを含む、請求項1に記載の方法。
  3. 前記決定ステップが前記二次元CDプロファイルを測定するステップを含む、請求項1に記載の方法。
  4. 前記二次元補償ファイルにパターン・データが含まれている、請求項1に記載の方法。
  5. 前記二次元補償ファイルに線量補償データが含まれている、請求項1に記載の方法。
  6. 前記二次元補償ファイルが修正マップである、請求項1に記載の方法。
  7. 前記二次元補償ファイルが二次元線量補償プロファイルである、請求項1に記載の方法。
  8. ワークピース上にパターンを印刷する際のプロセスの変化を補償する方法であって、
    前記ワークピース上に印刷された前記パターン内の二次元CDプロファイルを決定するステップと、
    前記二次元CDプロファイル内の変動を等化するための二次元線量補償プロファイルを作成するステップと、
    前記二次元線量補償プロファイルでワークピースをパターニングするステップとを含む方法。
  9. ワークピース上にパターンを印刷する際のプロセスの変化を補償する方法であって、
    前記ワークピース上に印刷される前記パターン内の二次元CDプロファイルを予測するステップと、
    前記二次元CDプロファイル内の変動を等化するための二次元線量補償プロファイルを作成するステップと、
    前記二次元線量補償プロファイルで前記ワークピースをパターニングするステップとを含む方法。
JP2007509421A 2004-04-20 2005-04-20 リソグラフィにおける誤差縮小方法 Pending JP2007534025A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/827,530 US7444616B2 (en) 1999-05-20 2004-04-20 Method for error reduction in lithography
PCT/SE2005/000571 WO2005103827A1 (en) 2004-04-20 2005-04-20 A method for error reduction in lithography

Publications (1)

Publication Number Publication Date
JP2007534025A true JP2007534025A (ja) 2007-11-22

Family

ID=35197134

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007509421A Pending JP2007534025A (ja) 2004-04-20 2005-04-20 リソグラフィにおける誤差縮小方法

Country Status (5)

Country Link
US (1) US7444616B2 (ja)
EP (1) EP1738226A1 (ja)
JP (1) JP2007534025A (ja)
KR (1) KR100898538B1 (ja)
WO (1) WO2005103827A1 (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009175276A (ja) * 2008-01-22 2009-08-06 Toshiba Corp フォトマスク作製方法、フォトマスク作製システムおよび半導体デバイス
JPWO2018016485A1 (ja) * 2016-07-21 2019-05-09 凸版印刷株式会社 フォトマスク、フォトマスク製造方法、及びフォトマスクを用いたカラーフィルタの製造方法

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
SE0104131D0 (sv) * 2001-12-10 2001-12-10 Micronic Laser Systems Ab Improved method and apparatus for image formation
DE10316821A1 (de) * 2003-04-03 2004-10-21 Infineon Technologies Ag Verfahren und Vorrichtung zur Korrektur von Abbildungsfehlern eines optischen Systems sowie eine Verwendung der Vorrichtung
KR101032526B1 (ko) * 2004-03-09 2011-05-04 호야 가부시키가이샤 마스크 블랭크 정보 취득방법 및 시스템, 마스크 블랭크 정보 제공방법, 전사 마스크 제작지원 및 제조방법, 그리고 마스크 블랭크 제조 및 제공방법
JP4488822B2 (ja) * 2004-07-27 2010-06-23 株式会社東芝 露光用マスクの製造方法、露光装置、半導体装置の製造方法およびマスクブランクス製品
JP4256375B2 (ja) * 2005-09-22 2009-04-22 アドバンスド・マスク・インスペクション・テクノロジー株式会社 画像補正装置、パターン検査装置、画像補正方法、及び、パターン検査方法
JP4233556B2 (ja) * 2005-09-22 2009-03-04 アドバンスド・マスク・インスペクション・テクノロジー株式会社 画像補正装置、パターン検査装置、画像補正方法、及び、パターン検査方法
WO2007035166A2 (en) * 2005-09-26 2007-03-29 Micronic Laser Systems Ab Methods and systems for pattern generation based on multiple forms of design data
US7902528B2 (en) * 2006-11-21 2011-03-08 Cadence Design Systems, Inc. Method and system for proximity effect and dose correction for a particle beam writing device
WO2008071295A1 (en) * 2006-12-15 2008-06-19 Carl Zeiss Sms Gmbh Calibrating method for a mask writer
US7824828B2 (en) * 2007-02-22 2010-11-02 Cadence Design Systems, Inc. Method and system for improvement of dose correction for particle beam writers
US7703060B2 (en) * 2007-02-23 2010-04-20 International Business Machines Corporation Stitched IC layout methods, systems and program product
US7684011B2 (en) * 2007-03-02 2010-03-23 Asml Netherlands B.V. Calibration method for a lithographic apparatus
US7835015B1 (en) * 2007-03-05 2010-11-16 Kla-Tencor Corporation Auto focus system for reticle inspection
DE102007033814B4 (de) * 2007-04-04 2014-08-28 Carl Zeiss Sms Gmbh Vorrichtung und Verfahren zum Messen der Position von Marken auf einer Maske
US8175831B2 (en) 2007-04-23 2012-05-08 Kla-Tencor Corp. Methods and systems for creating or performing a dynamic sampling scheme for a process during which measurements are performed on wafers
DE102007033345B4 (de) * 2007-07-16 2009-07-16 Vistec Semiconductor Systems Gmbh Verfahren zur Korrektur von Abbildungsfehlern einer Messoptik einer Koordinaten-Messmaschine
US7669171B2 (en) * 2007-09-05 2010-02-23 United Miceoelectronics Corp. Prediction model and prediction method for exposure dose
US7966586B2 (en) * 2007-12-07 2011-06-21 Cadence Design Systems, Inc. Intelligent pattern signature based on lithography effects
US7904853B1 (en) 2007-12-27 2011-03-08 Cadence Design Systems, Inc. Pattern signature
US8358828B2 (en) * 2007-12-28 2013-01-22 Cadence Design Systems, Inc. Interpolation of irregular data in a finite-dimensional metric space in lithographic simulation
US20090199152A1 (en) * 2008-02-06 2009-08-06 Micronic Laser Systems Ab Methods and apparatuses for reducing mura effects in generated patterns
KR101095676B1 (ko) * 2008-03-05 2011-12-19 주식회사 하이닉스반도체 위상반전 마스크 제조방법
JP4594994B2 (ja) * 2008-03-24 2010-12-08 株式会社東芝 マスクパターンデータ生成方法、マスクの製造方法、半導体装置の製造方法及びパターンデータ生成プログラム
US8725477B2 (en) 2008-04-10 2014-05-13 Schlumberger Technology Corporation Method to generate numerical pseudocores using borehole images, digital rock samples, and multi-point statistics
EP2263107A4 (en) * 2008-04-10 2016-12-28 Services Petroliers Schlumberger METHOD FOR CHARACTERIZING A GEOLOGICAL FORMATION THROUGH A DRILLING OXYGEN
US7844938B2 (en) * 2008-04-25 2010-11-30 International Business Machines Corporation Data correcting hierarchical integrated circuit layout accommodating compensate for long range critical dimension variation
US8381152B2 (en) 2008-06-05 2013-02-19 Cadence Design Systems, Inc. Method and system for model-based design and layout of an integrated circuit
NL2003304C2 (en) * 2008-08-07 2010-09-14 Ims Nanofabrication Ag Compensation of dose inhomogeneity and image distortion.
US8311788B2 (en) 2009-07-01 2012-11-13 Schlumberger Technology Corporation Method to quantify discrete pore shapes, volumes, and surface areas using confocal profilometry
US8655472B2 (en) * 2010-01-12 2014-02-18 Ebara Corporation Scheduler, substrate processing apparatus, and method of transferring substrates in substrate processing apparatus
JP5248540B2 (ja) * 2010-02-12 2013-07-31 株式会社東芝 マスク検証方法、半導体装置の製造方法およびマスク検証プログラム
DE102010015884B4 (de) * 2010-03-09 2015-05-28 Kla-Tencor Mie Gmbh Verfahren zur reproduzierbaren Bestimmung der Position von Strukturen auf einer Maske mit Pellicle-Rahmen
US8588508B2 (en) * 2010-05-28 2013-11-19 Nikon Corporation Method or matching high-numerical aperture scanners
KR101866448B1 (ko) * 2011-02-10 2018-06-11 삼성전자주식회사 포토마스크 형성 방법, 이를 수행하는 프로그래밍된 명령을 저장하는 컴퓨터에서 판독 가능한 저장 매체 및 마스크 이미징 시스템
US8402397B2 (en) * 2011-07-26 2013-03-19 Mentor Graphics Corporation Hotspot detection based on machine learning
US8832621B1 (en) 2011-11-28 2014-09-09 Cadence Design Systems, Inc. Topology design using squish patterns
US9454631B2 (en) 2014-05-23 2016-09-27 International Business Machines Corporation Stitch-derived via structures and methods of generating the same
US9710592B2 (en) 2014-05-23 2017-07-18 International Business Machines Corporation Multiple-depth trench interconnect technology at advanced semiconductor nodes
KR102357577B1 (ko) * 2014-08-28 2022-01-28 가부시키가이샤 오크세이사쿠쇼 투영 노광 장치, 투영 노광 방법, 투영 노광 장치용 포토마스크, 및 기판의 제조 방법
US10915689B2 (en) 2015-10-19 2021-02-09 Asml Netherlands B.V. Method and apparatus to correct for patterning process error
US20180299770A1 (en) * 2015-10-19 2018-10-18 Asml Netherlands B.V. Method and apparatus to correct for patterning process error
WO2017067748A1 (en) 2015-10-19 2017-04-27 Asml Netherlands B.V. Method and apparatus to reduce effects of nonlinear behavior
US10115687B2 (en) * 2017-02-03 2018-10-30 Applied Materials, Inc. Method of pattern placement correction
CN107227438B (zh) * 2017-06-15 2019-05-03 京东方科技集团股份有限公司 金属掩膜板的设计方法、金属掩膜板的制备方法
CN112236723B (zh) * 2018-06-04 2024-03-19 Asml荷兰有限公司 利用模型基础对准来改善边缘放置量测准确度
WO2020222793A1 (en) * 2019-04-30 2020-11-05 Hewlett-Packard Development Company, L.P. Geometrical compensation models
CN113905874B (zh) * 2019-04-30 2023-12-01 惠普发展公司,有限责任合伙企业 几何补偿
CN110620057B (zh) * 2019-09-12 2021-12-07 中国科学院微电子研究所 一种三维器件的套刻误差补偿方法及系统
CH716603A1 (fr) 2019-09-16 2021-03-31 Sigatec Sa Procédé de fabrication de spiraux horlogers.
WO2021085522A1 (ja) * 2019-10-30 2021-05-06 Alitecs株式会社 処理条件推定装置、方法及びプログラム
TW202244605A (zh) * 2021-03-01 2022-11-16 美商昂圖創新公司 大型場封裝上的後覆蓋補償

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001085317A (ja) * 1999-09-17 2001-03-30 Hitachi Ltd 半導体集積回路装置の製造方法
JP2003500847A (ja) * 1999-05-20 2003-01-07 マイクロニック レーザー システムズ アクチボラゲット リソグラフィに於ける誤差低減方法
JP2003043661A (ja) * 2001-07-30 2003-02-13 Toshiba Corp パターン形成方法

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2715627A (en) * 1952-05-26 1955-08-16 Charles L Mehltretter Solvent extraction of opium alkaloids
US2751672A (en) * 1953-03-05 1956-06-26 Smith Corp A O Method and apparatus for erecting helical storage vessel
FR2571687B1 (fr) * 1984-10-12 1987-04-24 Minnesota Mining & Mfg Procede d'emballage sous pellicule retractable avec poignee de transport, pellicule pour sa mise en oeuvre et colis realise avec une telle pellicule, suivant ce procede
DE4437284A1 (de) * 1994-10-18 1996-04-25 Eos Electro Optical Syst Verfahren zum Kalibrieren einer Steuerung zur Ablenkung eines Laserstrahls
US5646870A (en) * 1995-02-13 1997-07-08 Advanced Micro Devices, Inc. Method for setting and adjusting process parameters to maintain acceptable critical dimensions across each die of mass-produced semiconductor wafers
US5657235A (en) * 1995-05-03 1997-08-12 International Business Machines Corporation Continuous scale optical proximity correction by mask maker dose modulation
JP3918200B2 (ja) * 1995-11-16 2007-05-23 株式会社ニコン リソグラフィ装置の製造方法及びリソグラフィ装置
US6021009A (en) * 1998-06-30 2000-02-01 Intel Corporation Method and apparatus to improve across field dimensional control in a microlithography tool
US6261728B1 (en) * 1998-10-19 2001-07-17 Vanguard International Semiconductor Corporation Mask image scanning exposure method
SE517345C2 (sv) * 1999-01-18 2002-05-28 Micronic Laser Systems Ab Metod och system för tillverkande av stora skärmpaneler med förbättrad precision
US6424879B1 (en) * 1999-04-13 2002-07-23 Applied Materials, Inc. System and method to correct for distortion caused by bulk heating in a substrate
US6630681B1 (en) * 1999-07-21 2003-10-07 Nikon Corporation Charged-particle-beam microlithography apparatus and methods including correction of aberrations caused by space-charge effects
JP2002319533A (ja) * 2001-04-24 2002-10-31 Nikon Corp 転写露光方法、転写露光装置及びデバイス製造方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003500847A (ja) * 1999-05-20 2003-01-07 マイクロニック レーザー システムズ アクチボラゲット リソグラフィに於ける誤差低減方法
JP2001085317A (ja) * 1999-09-17 2001-03-30 Hitachi Ltd 半導体集積回路装置の製造方法
JP2003043661A (ja) * 2001-07-30 2003-02-13 Toshiba Corp パターン形成方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009175276A (ja) * 2008-01-22 2009-08-06 Toshiba Corp フォトマスク作製方法、フォトマスク作製システムおよび半導体デバイス
JPWO2018016485A1 (ja) * 2016-07-21 2019-05-09 凸版印刷株式会社 フォトマスク、フォトマスク製造方法、及びフォトマスクを用いたカラーフィルタの製造方法

Also Published As

Publication number Publication date
WO2005103827A1 (en) 2005-11-03
EP1738226A1 (en) 2007-01-03
KR100898538B1 (ko) 2009-05-20
KR20070013308A (ko) 2007-01-30
US7444616B2 (en) 2008-10-28
US20040268289A1 (en) 2004-12-30

Similar Documents

Publication Publication Date Title
US7444616B2 (en) Method for error reduction in lithography
US6883158B1 (en) Method for error reduction in lithography
KR100770100B1 (ko) 리소그래피에서 에러 감소를 위한 방법
US9958788B2 (en) Method of operating a patterning device and lithographic apparatus
TWI668775B (zh) 減少非線性行為效應之方法與系統
CN103034067B (zh) 将图案应用至衬底的方法、器件制造方法以及用于这些方法的光刻设备
EP1744217B1 (en) Method of selecting a grid model for correcting grid deformations in a lithographic apparatus and lithographic assembly using the same
CN101221364B (zh) 工艺、设备以及器件
US8502954B2 (en) Lithographic apparatus and device manufacturing method
US5308991A (en) Method and apparatus for making a predistorted reticle to compensate for lens distortions
US8203695B2 (en) Photolithography systems and associated methods of focus correction
US8137875B2 (en) Method and apparatus for overlay compensation between subsequently patterned layers on workpiece
JP6869359B2 (ja) パターンの位置決め精度を高めるための方法及びシステム
US20220260920A1 (en) Method for determining a sampling scheme, a semiconductor substrate measurement apparatus, a lithographic apparatus
US20190294059A1 (en) Method of determining pellicle compensation corrections for a lithographic process, metrology apparatus and computer program
JP7066747B2 (ja) 自由形状歪み補正
US20090276735A1 (en) System and Method of Correcting Errors in SEM-Measurements
CN107810447A (zh) 用于将标记图案转印到衬底的方法、校准方法以及光刻设备

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20100122

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100126

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100426

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100507

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100526

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100602

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100628

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100705

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20101005