JP2007505466A - 均一なプラズマ発生のための適応型プラズマソース - Google Patents

均一なプラズマ発生のための適応型プラズマソース Download PDF

Info

Publication number
JP2007505466A
JP2007505466A JP2006526028A JP2006526028A JP2007505466A JP 2007505466 A JP2007505466 A JP 2007505466A JP 2006526028 A JP2006526028 A JP 2006526028A JP 2006526028 A JP2006526028 A JP 2006526028A JP 2007505466 A JP2007505466 A JP 2007505466A
Authority
JP
Japan
Prior art keywords
bushing
plane
plasma source
disposed
unit
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2006526028A
Other languages
English (en)
Inventor
キム、ナム−フン
Original Assignee
アダプティブ プラズマ テクノロジー コーポレイション
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by アダプティブ プラズマ テクノロジー コーポレイション filed Critical アダプティブ プラズマ テクノロジー コーポレイション
Publication of JP2007505466A publication Critical patent/JP2007505466A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Abstract

【要約書】
プラズマを形成するための反応空間を有した反応チャンバの上部に配置されかつ外部のRF電源からRF(高周波)電力を供給されて反応空間内に電場を形成する適応型プラズマソースが提供される。この適応型プラズマソースは、1つの導電性ブッシングと少なくとも2個の単位コイルを具備する。ブッシングはRF電源に連結されかつ反応チャンバの上部中心部に配置されている。少なくとも2個の単位コイルは、ブッシングから分枝され、ブッシングを螺旋状に取り巻き、そしてa×(b/m)に等しい巻回数を有しており、ただし、a及びbは正の整数、mは単位コイルの個数である。
【選択図】図2

Description

本発明は、プラズマ半導体処理に関し、さらに詳細には、プラズマ反応チャンバ内で均一なプラズマを発生するための適応型プラズマソースに関する。
超高集積(ULSI:Ultra−Large Scale Integrate)回路素子の製造技術は、過去20年間に目覚ましく発展した。これは、カットエッジ技術を用いた半導体製造設備による。それら半導体製造設備のうち一つであるプラズマ反応チャンバは、エッチング工程やデポジション(deposition)工程で使われ、その適用範囲が広がっている。
プラズマが、プラズマ反応チャンバ内で形成され、エッチング工程、デポジション工程等で用いられる。プラズマソースに基づいて、プラズマ反応チャンバは、電子サイクロトロン共振(ECR:Electron Cyclotron Resonance)プラズマソース、ヘリコン波励起プラズマ(HWEP:Helicon−WaveExcitedPlasma)ソース、容量性結合プラズマ(CCP:Capacitively Coupled Plasma)ソース、誘導性結合プラズマ(ICP:Inductively Coupled Plasma)ソースなど、さまざまな形態に分類される。ICPソースの場合には、誘導コイルに供給される高周波(RF)電力によって磁場が発生される。この磁場により誘起された電場によって、チャンバ内部中心に電子が閉じ込められ、低い圧力でも高密度プラズマが生成される。ICPソースは、ECRプラズマソースやHWEPソースと比較し、構成が簡単であり、また大面積のプラズマが容易に得られる。したがって、ICPソースが広く使われている。
ICPソースを用いたプラズマチャンバでは、共振回路のインダクタのコイルに大きいRF電流が流れる。このRF電流が、チャンバ内部で生成されるプラズマの分布に大きい影響を及ぼす。インダクタのコイルが自己抵抗を有することは周知である。従って、コイルに沿って電流が流れると、自己抵抗によりエネルギーが消散されて熱に変換される。その結果、コイルに流れる電流量が徐々に減少する。このように、電流量が不均一になれば、チャンバ内部で生成されるプラズマの分布もまた不均一となる。
図1は、プラズマチャンバ内におけるプラズマ密度(ni)と臨界寸法(CD)変化分布を示すグラフである。以下においては、その変化を△CDと呼ぶことにする。本明細書では、△CDは、工程前に予想されたCDと、工程後に得られたCDとの差によって定義される。
図1を参照すると、曲線12が、プラズマ密度(ni)を表している。プラズマ密度(ni)は、ウェーハの中心で最も高く、ウェーハのエッジに行くほど低下する。曲線14は、△CDを表している。プラズマ密度niが不均一になることにより、△CDはウェーハの中心からウェーハのエッジに行くほどに低下する。
今までは、プラズマ不均一により発生する問題は、製造工程で解決されていた。しかし、リソグラフィ工程の限界のような要因により、これらの問題を解決するのには限界がある。
本発明は、プラズマ反応チャンバの内部で均一なプラズマを形成する適応型プラズマソースを提供する。
本発明の1つの態様によれば、プラズマを形成するための(反応空間を有する反応チャンバの上部に配置され、外部の高周波電源からのRF(高周波)電力を供給され、前記反応空間内に電場を形成する適応型プラズマソースが提供される。この適応型プラズマソースは、前記RF電源と接続され、前記反応チャンバの上部の中心部に配置された導電性のブッシングと、前記ブッシングから分枝された少なくとも2つの単位コイルを具備しており、それらの単位コイルは螺旋状にブッシングを包囲していて、a×(b/m)に等しい巻回数を有しており、この場合、a及びbは正の整数、そして、mは単位コイルの個数である。
ブッシングは、所定直径を有した円形の形状を有していてもよく、単位コイルは、ブッシングのエッジで相互対称になる位置から分枝されてもよい。
ブッシングは、多角形の形状を有していてもよく、単位コイルも前記ブッシングと同じ多角形の形状を有し、ブッシングを螺旋状に包囲していてもよい。
この場合、ブッシングと単位コイルは、四角形の形状を有していてもよい。あるいは、ブッシングと単位コイルは、六角形の形状を有していてもよい。
ブッシングは、反応チャンバの上部に配置された単位コイルと同一平面上に配置されてもよい。
ブッシングは、反応チャンバの上部に配置された単位コイルが位置した第1平面上より高くに位置した第2平面上に配置されてもよい。
この場合、単位コイルは、ブッシングから分枝され、第2平面上に配置され、第1平面まで延長され、そして第1平面上に螺旋状に配置されてもよい。
本発明の他の態様によれば、プラズマを形成するための反応空間を有する反応チャンバの上部に配置され、外部のRF電源からのRF(高周波)電力を供給され、反応空間内に電場を形成させる適応型プラズマソースであって、反応チャンバの上部に位置した第1平面上で反応チャンバの上部の中心部に配置された導電性の第1ブッシングと、第1平面上で第1ブッシングから分枝され、第1ブッシングを螺旋状に取り巻き、a×(b/m1)に等しい巻回数を有する少なくとも2つの第1単位コイル(ただし、a及びbは正の整数、そして、m1は第1単位コイルの個数である)と、第1平面上より高くに位置した第2平面上に第のブッシングに対応して配置され、第1ブッシングに弾性的に連結された第2導電性ブッシングと、第2平面上で第2ブッシングから分枝され、第2ブッシングを螺旋状に取り巻き、かつa×(b/m2)に等しい巻回数を有している少なくとも第2単位コイル(ただし、a及びbは正の整数、m2は第2単位コイルの個数である)とを具備している。
第1ブッシングは、第2ブッシングの断面に等しいかあるいはそれより広いより断面を有していてもよい。
この適応型プラズマソースは、第1平面上と第2平面上との間の少なくとも1つの平面上で第1及び第2ブッシングと連結された少なくともつの第3のブッシングと、第3のブッシングから分枝され、第1単位コイル及び第2単位コイルと同じ態様で配置された少なくとも1つの第3の単位コイルとをさらに具備していてもよい。
本発明の適応型プラズマソースによれば、単位コイルは、コイル配置が任意の位置で対称となりうるように所定の規則に基づいてブッシング周囲に螺旋状に配置される。これにより、均一なプラズマ密度が達成できる。また、中心部に配置されたブッシングにより、プラズマ密度が、相対的に強いプラズマ密度を有する中心部で低下して、全体プラズマ密度が全体的に均一に分布される。さらに、ブッシングとび単位コイルが上部と下部に配置されていて、単位コイルの個数と巻回数を規制することによって全体のインピーダンスを微調整できる。
図2は、本発明の1つの実施形態による適応型プラズマソースを用いたプラズマ反応チャンバの断面図であり図3は、図2に示された適応型プラズマソースの平面図である。
図2を参照すると、プラズマ反応チャンバ200は、チャンバ外壁202により画定された所定容積の内部空間204を有する。処理しようとする対象物、例えば、半導体ウェーハ206は、プラズマ反応チャンバ200の内部空間204の下方部分に配置される。半導体ウェーハ206は、プラズマ反応チャンバ200の下方部分に設置されたサセプター208上に載置される。支持部材208は、プラズマ反応チャンバ200の外側から供給されるRF電源210に連結される。プラズマ反応チャンバ200の上部には、ドーム212が配置される。ドーム212と半導体ウェーハ206との間の空間でプラズマ214が形成される。
プラズマ214のための適応型プラズマソース300は、ドーム212上に配置されかつドーム212から所定の距離だけ離間される。適応型プラズマソース300は、ブッシング310と複数の単位コイル321を具備しており、ブッシング310は単位コイル321の中間に配置されている。ブッシング310は、RF電源216と連結される。RF電源216からRF電力が単位コイル321,322,323に供給され、そして単位コイル321,322,323が、電場を発生する。この電場は、ドーム212を通じて内部空間204に誘起される。内部空間204に誘起された電場は、内部空間204の放電でガスを生じ、それによってプラズマ214を形成する。プラズマ214が形成されるときに発生した中性のラジカル粒子と電荷を帯びたイオンとが互いに化学反応する。
図3を参照すると、前記プラズマ反応チャンバ200の内部空間204内でプラズマ214を発生する適応型プラズマソース300は、中心部のブッシング310から分枝された複数個の単位コイル321,322,323がブッシング310を螺旋状に取り巻いた構成を有する。ブッシング310は、円形の形状を有しているが、他の形状を有していてもよい。例えば、ブッシング310は、三角形のような多角形であることもあり、または、円形や多角形のドーナッツ状であってもよい。ブッシング310は、プラズマ反応チャンバの中心部に対応するように配置される。従って、プラズマ反応チャンバの中心部でのプラズマ密度を低下させることができる。
単位コイル321,322,323とブッシング310とが連結される分枝点a,b,cは、互いに対称をなす。単位コイル321,322,323は、ブッシング310を通じてRF電源216からのRF電力を供給されねばならないので、ブッシング310は一部または全部が導電体で作製される。図3は単位コイルの個数と各単位コイルの巻回数がそれぞれ3つと1回であると示しているが、単位コイルの個数は2つまたは4つ以上であってもよい。また、各単位コイルの巻回数は、次の式1で与えられうる。
<式1>
n=a×(b/m)
ここで、nは各単位コイルの巻回数を表し、a及びbは正の整数値を表し、そして、mは単位コイルの個数を表す。
式1によれば、図3に示された単位コイル321,322,323の個数が3であるから、各単位コイルの巻回数nは、1/3,2/3,1,1と1/3,1と2/3等々になりうる。これらの条件が満足される場合には、いかなる位置でも単位コイル321,322,323は対称に配置される。従って、均一なプラズマ密度を得ることができす。すなわち、ブッシング310の中心点を通過するラインのうち、いずれのラインに沿って切断しても、各単位コイルは両方に対称をなす。しかし、式1の条件が満足されない場合には、各単位コイルは非対称となるであろう。例えば、3個の単位コイルがすべてブッシングの右側に配置されているが、2個の単位コイルだけが左側に配置されてもよい。このような非対称的な配置は、プラズマ反応チャンバ内の内部空間に不均一プラズマ密度を生じさせる要因の1つとなるであろう。
図4A及び図4Bは、本発明の他の実施形態による適応型プラズマソースの図である。詳細には、図4Aは、適応型プラズマソースがプラズマ反応チャンバに装着される構成の図であり、図4Bは、図4Aに示された適応型プラズマソースを立体図である。図2及び4では同じ参照符号は、同じ要素を表すので、それらの説明は省略する。
図4A及び図4Bを参照すると、適応型プラズマソースは、上部に配置されたブッシング410と、下部に配置された2個以上の(例えば3個の)単位コイル421,422,423を備えている。単位コイル421,422,423は、プラズマ反応チャンバ200のドーム212上部表面に隣接した第1平面4a上に配置される。ブッシング410は、ドーム212の上部表面から相対的にさらに離間された第2平面4b上に配置される。具体的には、第2平面4b上でブッシング410から分枝される単位コイル421,422,423は、第1平面4aまで垂直方向に延長する。第1平面4aまで延長した各単位コイル421,422,423は、第1平面4a上で螺旋状に配置される。単位コイル421,422,423の螺旋状の構成は、図3で説明したところと同一なので、それの説明は省略する。
図5A及び図5Bは、本発明のさらに他の実施形態による適応型プラズマソースの図である。詳細には、図5Aは、適応型プラズマソースがプラズマ反応チャンバに装着される構成の図であり、図5Bは、図5Aに示された適応型プラズマソースの立体図である。同じ参照符号は、図2及び図5Aと同じ要素を表すので、それらの説明は省略する。
図5A及び図5Bを参照すると、適応型プラズマソースは、下部に配置された第1ブッシング510と、上部に配置された第2ブッシング530を具備している。第1ブッシング510は、プラズマ反応チャンバ200のドーム212上面上に位置した第1平面5a上に配置され、第2ブッシング530は、第1平面5aより所定の距離だけ高くに位置した第2平面5b上に配置される。第1ハウジング510の他に、2個以上の(例えば3個の)第1単位コイル521,522,523が第1平面5a上に配置される。同様に、第2ブッシング530の他に、2個以上の(例えば3個の)第2単位コイル541,542,543が第2平面5b上に配置される。第1ブッシング510と第2ブッシング530は、連結ロッド550により相互連結される。連結ロッド550は導電性材料で作製されている。したがって、RF電力が、第2ブッシング530及び連結ロッド550を通じて第1ブッシング510に供給されうる。
第1単位コイル521,522,523は、第1ブッシング510から分枝され、第1平面5a上で第1ブッシング510を螺旋状に取り巻く。第2単位コイル541,542,543は、第2ブッシング530から分枝され、第2平面5b上で第2ブッシング530を螺旋状に取り巻く。第1及び第2単位コイルの構造は、図3で説明したように同一であるから、それらの説明は省略する。
一方、図面には示されていないが、第1平面5aと第22平面5bとの間の所定の面上には、第1ブッシング510及び第2ブッシング530と同じ態様で配置される少なくとも1つのブッシングが配置される。そのブッシングから少なくとも2個の単位コイル(図示せず)が第1単位コイル及び第2単位コイルと同じ態様で配置されうる。また、第1単位コイルの個数は、第2単位コイルの個数と等しくてもよいし、異なっていてもよい。
図6は、本発明のさらに他の実施形態による適応型プラズマソースの図である。
図6を参照すると、適応型プラズマソースは、下部に配置された第1ブッシング510と、上部に配置された第2ブッシング540を具備している。図5Aの適応型プラズマソースとは異なり、図6の適応型プラズマソースは、第1ブッシング510の直径d1が、第2ブッシング540の直径d2とは相異なることを特徴とする。すなわち、第1平面5a上の第1ブッシング510の直径d1が第2平面5b上の第2ブッシング540の直径d2より大きい。これは、第1ブッシング510の断面が第2ブッシング540の断面よりさらに広いことを意味する。この構成は、第1ブッシング510の直径d1を広げることによって得られるものであり、プラズマ反応チャンバ200の中心部でのプラズマ密度を低下させるのにさらに効果的である。言い換えれば、第1単位コイル521,522,523と重複されるプラズマ反応チャンバ200の領域が減少すると、プラズマ密度が低くなる領域が広くなる。
図7は、本発明のさらに他の実施形態による適応型プラズマソースの図である。
図7を参照すると、図5Aの適応型プラズマソースとの差異は、第1単位コイル521,522,523の個数が、上部の第2単位コイル541,542,543,544の個数と等しくないことである。すなわち、下部に配置された第1単位コイル521,522,523の個数が3個であるが、第2単位コイル541,542,543,544の個数は4個である。このように、下部の単位コイルの個数と上部の単位コイルの個数とを調節することにより、より微細なインピーダンスを得ることができる。
図8は、図7に示された適応型プラズマコイルのインダクタンス成分の等価回路図である。
図8を参照すると、下部に配置された第1単位コイル521,522,523はすべて第1ブッシング510から分枝され回路構成となる。また、上部に配置された第2単位コイル541,542,543,544はすべて第2ブッシング530から分枝されて、回路構成となる。それぞれの単位コイルが同じインピーダンスZを有する場合、上部の第2単位コイル回路の第2等価インピーダンスZ2は、Z/4となる。同様に、第1単位コイル回路の第1等価インピーダンスZ1は、Z/3となる。従って、全体の等価インピーダンスZtは7Z/12であり、これは第1等価インピーダンスZ1と第2等価インピーダンスZ2との和である。すなわち、1つの単位コイルのインピーダンスの7/12倍に相当する等価インピーダンスを得ることができる。従って、さらに微細な等価インピーダンスを得ることができる。例えば、3個の単位コイルと4個の単位コイルが下部と上部とにそれぞれ配置される場合には、1つの単位コイルの1/12倍ないし12/12倍のインピーダンスが得られる。
図9A及び図9Bは、本発明のさらに他の実施形態による角張った形状を有する適応型プラズマソースの図である。
円形のブッシングについて上述したが、ブッシングは、角張った形状として形成されてもよい。図9Aに図示されているように、ブッシングは、四角形または六角形として形成されてもよい。図9Aに図示された四角形のブッシング910の場合には、2個以上の(例えば4個の)単位コイル921,922,923,924は、ブッシング910の4つの辺から対称に分枝される。この場合には、ブッシング910の各コーナーから分枝されうるということが明らかである。また、単位コイル921,922,923,924の巻回数は、上記の式1により決定される。すなわち、4個の単位コイル921,922,923,924が使われるので、その巻回数は、1/4、2/4、3/4、1、1と1/4、1と2/4、等となる。図9Bに図示されている六角形のブッシング930の場合には、2個以上の(例えば6個の)単位コイル941,942,943,944,945,946が、ブッシング930の各コーナーから対称に分枝される。単位コイル941,942,943,944,945,946の巻回数は、上記の数式1により決定される。すなわち、6個の単位コイル941,942,943,944,945,946が使われるので、巻回数は1/6、2/6、3/6、4/6、5/6、1、1と1/6、1と2/6、1と3/6、1と4/6等となる。
プラズマ反応チャンバ内のプラズマ密度分布とCD変化分布とを示すグラフである。 本発明の1つの実施形態による適応型プラズマソースを用いたプラズマ反応チャンバの断面図である。 図2に示された適応型プラズマソースの平面図である。 本発明の他の実施形態による適応型プラズマソースを説明するための断面図である。 本発明の他の実施形態による適応型プラズマソースを説明するための図である。 本発明のさらに他の実施形態による適応型プラズマソースを説明するための図である。 本発明のさらに他の実施形態による適応型プラズマソースを説明するための図である。 本発明のさらに他の実施形態による適応型プラズマソースを説明するための図である。 本発明のさらに他の実施形態による適応型プラズマソースを説明するための図である。 図8に示された適応型プラズマソースのインダクタンス成分の等価回路図である。 本発明のさらに他の実施形態による角張った形状を有する適応型プラズマソースを示す図である。 本発明のさらに他の実施形態による角張った形状を有する適応型プラズマソースを示す図である。
符号の説明
200 プラズマ反応チャンバ
204 内部空間
210 RF(高周波)電源
300 適応型プラスマソース
310 ブッシング
321、322、323 単位コイル

Claims (11)

  1. プラズマを形成するための反応空間を有する反応チャンバの上部に配置され、外部の高周波電源からのRF(高周波)電力を供給され、前記反応空間内に電場を形成させる適応型プラズマソースであって、
    前記RF電源と連結され、前記反応チャンバの上部中心部に配置される導電性のブッシングと、
    前記ブッシングから分枝され、前記ブッシングを螺旋状に取り巻いて、a×(b/m)に等しい巻回数を有する少なくとも2つの単位コイルとを
    具備し、a及びbは正の整数、そしてmは上記単位コイルの個数である適応型プラズマソース。
  2. 前記ブッシングは、所定の直径を有する円形の形状を有し、前記単位コイルは、前記ブッシングのエッジで相互対称になる位置から分枝される、請求項1に記載の適応型プラズマソース。
  3. 前記ブッシングは、多角形の形状を有し、前記単位コイルは前記ブッシングと同じ多角形の形状を有しかつ前記ブッシング周囲を螺旋状に取り巻いている請求項1に記載の適応型プラズマソース。
  4. 前記ブッシング及び単位コイルは、四角形の形状を有している請求項3に記載の適応型プラズマソース。
  5. 前記ブッシング及び単位コイルは、六角形の形状を有している請求項3に記載の適応型プラズマソース。
  6. 前記ブッシングは、前記反応チャンバの上部に配置された前記単位コイルと同一平面上に配置される請求項1に記載の適応型プラズマソース。
  7. 前記ブッシングは、前記反応チャンバの上部に配置された前記単位コイルが位置した第1平面上より高くに位置した第2平面上に配置される請求項1に記載の適応型プラズマソース。
  8. 前記単位コイルは、前記第2平面上に配置される前記ブッシングから分枝され、前記第1平面上まで延長され、そして前記第1平面上で螺旋状に配置される請求項7に記載の適応型プラズマソース。
  9. プラズマを形成するための反応空間を有する反応チャンバの上部に配置され、外部のRF電源からのRF(高周波)電力を供給され、前記反応空間内に電場を形成させる適応型プラズマソースであって、
    前記反応チャンバの上部に位置した第1平面上で前記反応チャンバの上部中心部に配置される導電性の第1ブッシングと、
    前記第1平面上で前記第1ブッシングから分枝され、前記第1ブッシング周囲を螺旋状に取り巻き、a×(b/m1)に等しい巻回数を有し、ここで、a及びbは正の整数、そして、m1は単位コイルの個数である少なくとも2個の第1単位コイルと、
    前記第1平面より高くに位置した第2平面上で前記第1ブッシングに対応して配置され、前記第1ブッシングに弾性的に連結される導電性の第2ブッシングと、
    前記第2平面上で前記第2ブッシングから分枝され、前記第2ブッシング周囲を螺旋状に取り巻き、a×(b/m2)に等しい巻回数を有し、ここで、a及びbは正の整数、そして、m2は単位コイルの個数である少なくとも2個の第2単位コイルとを具備した適応型プラズマソース。
  10. 前記第1ブッシングは、前記第2ブッシングの断面と等しいかそれより広い断面を有する請求項9に記載の適応型プラズマソース。
  11. 前記第1平面上と前記第2平面上との間の少なくとも1つの平面で前記第1ブッシング及び第2ブッシングと連結される少なくとも一つ以上の第3ブッシングと、
    前記第3ブッシングから分枝され、前記第1単位コイル及び第2単位コイルと同じ態様で配置される少なくとも1つの第3単位コイルとをさらに具備した請求項9に記載の適応型プラズマソース。
JP2006526028A 2003-09-09 2004-09-08 均一なプラズマ発生のための適応型プラズマソース Pending JP2007505466A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020030063416A KR100551138B1 (ko) 2003-09-09 2003-09-09 균일한 플라즈마 발생을 위한 적응형 플라즈마 소스
PCT/KR2004/002282 WO2005025281A1 (en) 2003-09-09 2004-09-08 Adaptively plasma source for generating uniform plasma

Publications (1)

Publication Number Publication Date
JP2007505466A true JP2007505466A (ja) 2007-03-08

Family

ID=36242202

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006526028A Pending JP2007505466A (ja) 2003-09-09 2004-09-08 均一なプラズマ発生のための適応型プラズマソース

Country Status (6)

Country Link
US (1) US20070084405A1 (ja)
EP (1) EP1665908A1 (ja)
JP (1) JP2007505466A (ja)
KR (1) KR100551138B1 (ja)
CN (1) CN100438718C (ja)
WO (1) WO2005025281A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019514168A (ja) * 2016-04-05 2019-05-30 アパン インストゥルメンツ エスピー. ゼット オー. オー.Apan Instruments Sp. Z O.O. マイクロ波周波数においてトロイダルプラズマ放電を加熱する電磁場を成形するアダプタ

Families Citing this family (335)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2006031010A1 (en) * 2004-09-14 2006-03-23 Adaptive Plasma Technology Corp. Adaptively plasma source and method of processing semiconductor wafer using the same
KR100716720B1 (ko) * 2004-10-13 2007-05-09 에이피티씨 주식회사 비원형의 플라즈마 소스코일
KR100748871B1 (ko) * 2005-10-21 2007-08-13 에이피티씨 주식회사 균일한 자계분포를 갖도록 하는 적응형 플라즈마 소스 및이를 포함하는 플라즈마 챔버
KR100777635B1 (ko) * 2006-01-17 2007-11-21 (주)아이씨디 평판 타입 고밀도 icp 안테나
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
TW201143554A (en) * 2009-11-27 2011-12-01 Ulvac Inc Plasma processing apparatus
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
KR102630343B1 (ko) * 2017-08-03 2024-01-30 삼성전자주식회사 플라즈마 처리 장치 및 그를 이용한 반도체 소자의 제조방법
US10971333B2 (en) * 2016-10-24 2021-04-06 Samsung Electronics Co., Ltd. Antennas, circuits for generating plasma, plasma processing apparatus, and methods of manufacturing semiconductor devices using the same
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3105403B2 (ja) * 1994-09-14 2000-10-30 松下電器産業株式会社 プラズマ処理装置
US5731565A (en) * 1995-07-27 1998-03-24 Lam Research Corporation Segmented coil for generating plasma in plasma processing equipment
US5800619A (en) * 1996-06-10 1998-09-01 Lam Research Corporation Vacuum plasma processor having coil with minimum magnetic field in its center
TW349234B (en) * 1996-07-15 1999-01-01 Applied Materials Inc RF plasma reactor with hybrid conductor and multi-radius dome ceiling
TW406523B (en) * 1998-02-11 2000-09-21 Tsai Chuen Hung Inductively-coupled high density plasma producing apparatus and plasma processing equipment provided with the same
US6164241A (en) * 1998-06-30 2000-12-26 Lam Research Corporation Multiple coil antenna for inductively-coupled plasma generation systems
US6238528B1 (en) * 1998-10-13 2001-05-29 Applied Materials, Inc. Plasma density modulator for improved plasma density uniformity and thickness uniformity in an ionized metal plasma source

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019514168A (ja) * 2016-04-05 2019-05-30 アパン インストゥルメンツ エスピー. ゼット オー. オー.Apan Instruments Sp. Z O.O. マイクロ波周波数においてトロイダルプラズマ放電を加熱する電磁場を成形するアダプタ

Also Published As

Publication number Publication date
CN100438718C (zh) 2008-11-26
KR20050026679A (ko) 2005-03-15
WO2005025281A1 (en) 2005-03-17
CN1864449A (zh) 2006-11-15
KR100551138B1 (ko) 2006-02-10
EP1665908A1 (en) 2006-06-07
US20070084405A1 (en) 2007-04-19

Similar Documents

Publication Publication Date Title
JP2007505466A (ja) 均一なプラズマ発生のための適応型プラズマソース
KR101091356B1 (ko) 대규모 유도 결합 플라즈마 소스용 유도 소자
JP4904202B2 (ja) プラズマ反応器
JP5561812B2 (ja) プラズマ処理装置
US6806437B2 (en) Inductively coupled plasma generating apparatus incorporating double-layered coil antenna
TWI448212B (zh) 電漿處理之設備與方法
KR101094124B1 (ko) 균일한 프로세스 레이트를 발생시키는 안테나
US6028285A (en) High density plasma source for semiconductor processing
EP1540694B1 (en) Method and apparatus for producing uniform processing rates
US20120097870A1 (en) Apparatus for forming a magnetic field and methods of use thereof
KR100887910B1 (ko) 균일한 처리 레이트 생성을 위한 방법 및 장치
TWI580325B (zh) 電感耦合電漿產生天線,電感耦合電漿產生器與其驅動方法
KR100805557B1 (ko) 다중 마그네틱 코어가 결합된 유도 결합 플라즈마 소스
US20040261718A1 (en) Plasma source coil for generating plasma and plasma chamber using the same
JP2008516461A (ja) プラズマチャンバでの均一なプラズマ形成のためのプラズマソース
US20230197409A1 (en) Antenna assembly and plasma processing equipment including same
KR100743842B1 (ko) 자속 채널에 결합된 플라즈마 챔버를 구비한 플라즈마반응기
KR101712263B1 (ko) 헬리컬공명플라즈마 안테나 및 이를 구비하는 플라즈마 발생 장치
KR100487575B1 (ko) 3차원 구조의 플라즈마 소스 및 이를 채용한 플라즈마 챔버
KR100527837B1 (ko) 균일한 플라즈마 분포를 발생시키는 플라즈마 소스 및플라즈마 챔버
KR100528253B1 (ko) 낮은 이온 플럭스와 높은 임피던스를 갖는 플라즈마 소스및 이를 채용한 플라즈마 챔버
KR101473371B1 (ko) 유도 결합 플라즈마 발생용 안테나 구조체
KR20210103198A (ko) 유도결합형 플라즈마 소스
KR100519676B1 (ko) 플라즈마소스코일을 갖는 플라즈마챔버 세팅방법
KR20070104704A (ko) 내장 마그네틱 코어를 갖는 유도 결합 플라즈마 소스

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20081202

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20090421