JP2007281298A - 半導体装置の製造方法 - Google Patents

半導体装置の製造方法 Download PDF

Info

Publication number
JP2007281298A
JP2007281298A JP2006107780A JP2006107780A JP2007281298A JP 2007281298 A JP2007281298 A JP 2007281298A JP 2006107780 A JP2006107780 A JP 2006107780A JP 2006107780 A JP2006107780 A JP 2006107780A JP 2007281298 A JP2007281298 A JP 2007281298A
Authority
JP
Japan
Prior art keywords
semiconductor wafer
chamber
temperature
manufacturing
wafer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2006107780A
Other languages
English (en)
Other versions
JP5042517B2 (ja
Inventor
Takuya Futase
卓也 二瀬
Hideaki Tsugane
秀明 津金
Mitsuo Kimoto
美津男 木本
Shusuke Suzuki
秀典 鈴木
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Technology Corp
Original Assignee
Renesas Technology Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Technology Corp filed Critical Renesas Technology Corp
Priority to JP2006107780A priority Critical patent/JP5042517B2/ja
Priority to TW096110383A priority patent/TWI405251B/zh
Priority to KR1020070034064A priority patent/KR20070101132A/ko
Priority to CN2007100908789A priority patent/CN101055832B/zh
Priority to US11/733,316 priority patent/US7566662B2/en
Publication of JP2007281298A publication Critical patent/JP2007281298A/ja
Application granted granted Critical
Publication of JP5042517B2 publication Critical patent/JP5042517B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823835Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes silicided or salicided gate conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/906Cleaning of wafer as interim step

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

【課題】ニッケルシリサイド層の電気的特性のばらつきを低減することにより、半導体素子の信頼性および製造歩留まりを向上させる。
【解決手段】成膜装置のドライクリーニング処理用のチャンバ27に備わるウエハステージ27a上に半導体ウエハSWを置いた後、還元ガスを供給して半導体ウエハSWの主面上をドライクリーニング処理し、続いて180℃に維持されたシャワーヘッド27cにより半導体ウエハSWを100から150℃の第1の温度で熱処理する。次いで半導体ウエハSWをチャンバ27から熱処理用のチャンバへ真空搬送した後、そのチャンバにおいて150から400℃の第2の温度で半導体ウエハSWを熱処理することにより、半導体ウエハSWの主面上に残留する生成物を除去する。
【選択図】図14

Description

本発明は、半導体装置の製造技術に関し、特に、ニッケルシリサイド層を有する半導体素子の製造に適用して有効な技術に関するものである。
半導体装置の高集積化が進むにつれて、電界効果トランジスタ(Metal Insulator Semiconductor Field Effect Transistor)はスケーリング則に従い微細化されるが、ゲートやソース・ドレインの抵抗が増大して電界効果トランジスタを微細化しても高速動作が得られないという問題が生ずる。そこで例えば0.2μm以下のゲート長を有する電界効果トランジスタにおいては、ゲートを構成する導電膜およびソース・ドレインを構成する半導体領域の表面に自己整合により低抵抗のシリサイド層、例えばニッケルシリサイド層またはコバルトシリサイド層等を形成することにより、ゲートやソース・ドレインを低抵抗化するサリサイド技術が検討されている。
しかし、シリサイド層が形成される下地(例えばゲートを構成する導電膜およびソース・ドレインを構成する半導体領域)の表面に自然酸化膜が存在するとシリサイド層の抵抗が不均一となる。そこで、シリサイド層を形成する際には事前に下地の表面を洗浄して自然酸化膜や不純物を除去している。
例えばサリサイド工程を実行する前に、基板上で実行される事前クリーニング工程において、前記基板上で還元反応を発生させるために、反応性プラズマを用いた反応性プラズマ処理工程を実行するステップを含む技術が日本特開2002−93739号公報(特許文献1参照)に記載されている。
特開2002−93739号公報(段落[0008]、段落[0009]、図1)
ニッケルシリサイド(NiSi)層は14から20μΩ・cmの低抵抗を有し、例えば400から600℃の比較的低温によるサリサイド技術により形成することができる。よって、低抵抗でかつ浅い接合の形成が可能となることから、近年、微細化が要求される電界効果トランジスタのソース・ドレインにニッケルシリサイド層が採用されている。
しかしながら、サリサイド技術により形成されるニッケルシリサイド層については、以下に説明する種々の技術的課題が存在する。
半導体基板の表面にニッケル膜を堆積する前には、例えばHFガスとNHガスまたはNFガスとNHガス等の還元ガスを用いたドライクリーニング処理、あるいはNFガスとNHガスまたはNFガスとHガス等の還元ガスを含むArガスの反応性プラズマを用いたドライクリーニング処理を行うことにより、半導体基板の表面の自然酸化膜や不純物を除去している。そのため、ドライクリーニング処置を行った半導体基板の表面にはケイフッ化アンモニウム((NHSiF)が生成される。この生成物が半導体基板の表面に残留していると、サリサイド技術により形成されるニッケルシリサイド層に抵抗のばらつきが生じてしまう。
そこで、ドライクリーニング処理を行った半導体基板の表面を上記生成物の昇華温度である100℃程度で暖めることによって生成物を除去する検討を行った。ところが、100℃程度の温度で半導体基板を暖めても生成物を完全に昇華させることができず、ニッケルシリサイド層の抵抗のばらつきを回避することはできないことが明らかとなった。これは、半導体基板の表面に生成する生成物の組成が全て(NHSiFではなく、(NHSiFから僅かにずれた組成(非化学量論的組成の化合物、これらも混乱のないときは便宜的にケイフッ化アンモニウムまたは((NHSiF)で表示する)も含まれており、その組成が僅かにずれた生成物が100℃程度の温度では昇華せずに半導体基板の表面に残留したためと考えられる。
本願の一つの発明の目的は、ニッケルシリサイド層の電気的特性のばらつきを低減することにより、半導体素子の信頼性および製造歩留まりを向上させることのできる技術を提供することにある。
本願の前記一つの発明の前記ならびにその他の目的並びにその他の発明の目的及び新規な特徴は、本明細書の記述および添付図面から明らかになるであろう。
本願において開示される発明のうち、代表的なものの概要を簡単に説明すれば、次のとおりである。
本発明による半導体装置の製造方法は、シリコン上にニッケル膜を堆積する前に、第1のチャンバに備わるウエハステージ上に半導体ウエハを置く工程と、ウエハステージの上方に設置されたシャワーヘッドを介して還元ガスを供給し、半導体ウエハの主面上のシリコンの表面をドライクリーニング処理する工程と、シャワーヘッドの加熱温度を利用した100から150℃の第1の温度で半導体ウエハを熱処理する工程と、半導体ウエハを第1のチャンバから第2のチャンバへ搬送する工程と、第2のチャンバにおいて150から400℃の第2の温度で半導体ウエハを熱処理する工程とを含むものである。
本発明による半導体装置の製造方法は、シリコン上にニッケル膜を堆積する前に、第1のチャンバに備わるウエハステージ上に半導体ウエハを置く工程と、ウエハステージの上方に設置されたシャワーヘッドを介して還元ガスを供給し、半導体ウエハの主面上のシリコンの表面をドライクリーニング処理する工程と、シャワーヘッドの加熱温度を利用した180から220℃の第1の温度で半導体ウエハを熱処理する工程とを含むものである。
本発明による半導体装置の製造方法は、シリコン上にニッケル膜を堆積する前に、第1のチャンバに備わるウエハステージ上に半導体ウエハを置く工程と、ウエハステージの上方に設置されたシャワーヘッドを介して還元ガスを供給し、半導体ウエハの側面および裏面をドライクリーニング処理することなく、半導体ウエハの主面上のシリコンの表面をドライクリーニング処理する工程と、半導体ウエハを第1のチャンバから第2のチャンバへ搬送する工程と、第2のチャンバにおいて150から400℃の第2の温度で半導体ウエハを熱処理する工程とを含むものである。
本願に含まれるその他の発明の概要を以下に項に分けて示す。
1.自己整合反応によりニッケルシリサイド層を形成する半導体装置の製造方法であって、シリコン上にニッケル膜を堆積する前に以下の工程を含む半導体装置の製造方法:
(a)第1のチャンバに備わるウエハステージ上に半導体ウエハを置く工程;
(b)前記ウエハステージの上方に設置されたシャワーヘッドを介して還元ガスを供給し、前記半導体ウエハの主面上の前記シリコンの表面をドライクリーニング処理する工程;
(c)前記シャワーヘッドの加熱温度を利用した第1の温度で前記半導体ウエハを熱処理する工程;
(d)前記半導体ウエハを前記第1のチャンバから第2のチャンバへ搬送する工程;
(e)前記第2のチャンバにおいて、前記第1の温度よりも高い第2の温度で前記半導体ウエハを熱処理する工程。
なお、本願では主にニッケルシリサイドプロセスについて説明したが、本項1、8または11の各サブパラグラフのプロセスは、その他のウエハ処理の前処理(ドライ洗浄)として適用できることは言うまでのない。
すなわち、熱処理、膜形成等のウエハ処理前の洗浄(例えばシリコン表面の自然酸化膜を比較的低温(例えば摂氏400℃以下)で昇華、蒸発または気化する物質に変換する処理)としてドライ洗浄を実行するが、その際に、洗浄残渣(洗浄処理生成物を含む)がドライ洗浄の結果生成し、ウエハ表面(上面、側面、裏面を含む)に堆積、結露または凝結する。その洗浄残渣をドライ洗浄室と同室で、洗浄機構の一部または全部を利用して、第1の温度(ウエハ上面温度)で熱処理することによって、前記洗浄残渣の一部を気化させて除去した後、ウエハを別の処理室に移動させて、そこで第1の温度よりも高い第2の温度(ウエハ上面温度)で熱処理することによって、残留する残渣を気化させるものである。
第1の温度による熱処理は、比較的低温で出来るため、装置構造を比較的簡単に出来ること、または、スループットを確保できる利点がある。また、この処理によって、ハンドリングに必要な裏面、側面の残渣は比較的完全に(ハンドリングによる汚染の伝播を回避する限度で)除去できる利点がある。第2の温度による熱処理が必要なのは、生成物が非化学量論的な組成を含むと気化温度が上昇する場合があるほか、複雑な構造を有する実際のウエハ上面では、地形学的特徴に依存して、実効的気化温度が上昇する場合があるからである。
2.前記項1記載の半導体装置の製造方法おいて、前記第1の温度は100から150℃である。
3.前記項1記載の半導体装置の製造方法において、前記第2の温度は150から400℃である。
4.前記項1記載の半導体装置の製造方法において、前記第2の温度は165から350℃である。
5.前記項1記載の半導体装置の製造方法において、前記第2の温度は180から220℃である。
6.前記項1記載の半導体装置の製造方法において、前記第2の温度は200℃である。
7.前記項1から6のいずれか一つに記載の半導体装置の製造方法において、前記第1のチャンバと前記第2のチャンバとの間の前記半導体ウエハの搬送は、真空搬送される。
8.自己整合反応によりニッケルシリサイド層を形成する半導体装置の製造方法であって、シリコン上にニッケル膜を堆積する前に以下の工程を含む半導体装置の製造方法:
(a)第1のチャンバに備わるウエハステージ上に半導体ウエハを置く工程;
(b)前記ウエハステージの上方に設置されたシャワーヘッドを介して還元ガスを供給し、前記半導体ウエハの主面上の前記シリコンの表面をドライクリーニング処理する工程;
(c)前記シャワーヘッドの加熱温度を利用した第1の温度で前記半導体ウエハを熱処理する工程、
ここで、前記シャワーヘッドは180℃よりも高い温度に維持される。
すなわち、熱処理、膜形成等のウエハ処理前の洗浄(例えばシリコン表面の自然酸化膜を比較的低温(例えば摂氏400℃以下)で昇華、蒸発または気化する物質に変換する処理)としてドライ洗浄を実行する際に、ドライ洗浄の結果生成し、ウエハ表面(上面、側面、裏面を含む)に堆積、結露または凝結した洗浄残渣(洗浄処理生成物を含む)をドライ洗浄室と同室で、洗浄機構の一部または全部を利用して、十分に高い温度(ウエハ上面温度)で熱処理することによって、前記洗浄残渣のほぼ全部を気化させて除去するものである。
この場合は、洗浄残渣の除去が1回の熱処理で、しかも同室で出来るため、装置の処理室を有効に利用できる利点がある。
9.前記項8記載の半導体装置の製造方法において、前記第1の温度は180から220℃である。
10.前記項8記載の半導体装置の製造方法において、前記第1の温度は200℃である。
11.自己整合反応によりニッケルシリサイド層を形成する半導体装置の製造方法であって、シリコン上にニッケル膜を堆積する前に以下の工程を含む半導体装置の製造方法:
(a)第1のチャンバに備わるウエハステージ上に半導体ウエハを置く工程;
(b)前記ウエハステージの上方に設置されたシャワーヘッドを介して還元ガスを供給し、前記半導体ウエハの主面上の前記シリコンの表面をドライクリーニング処理する工程;
(c)前記半導体ウエハを前記第1のチャンバから第2のチャンバへ搬送する工程;
(d)前記第2のチャンバにおいて、第2の温度で前記半導体ウエハを熱処理する工程、
ここで、前記工程(b)では、前記半導体ウエハの側面および裏面に前記還元ガスが供給されない。
すなわち、熱処理、膜形成等のウエハ処理前の洗浄(例えばシリコン表面の自然酸化膜を比較的低温(例えば摂氏400℃以下)で昇華、蒸発または気化する物質に変換する処理)としてドライ洗浄を実行する際に、ドライ洗浄の結果生成し、ウエハ表面(このとき上面の周辺部、側面、裏面に堆積物が付着しないようにしてドライ洗浄を実行することが必要である)に堆積、結露、または凝結した洗浄残渣(洗浄処理生成物を含む)をドライ洗浄室と別室で、十分に高い温度(ウエハ上面温度)で熱処理することによって、前記洗浄残渣のほぼ全部を気化させて除去するものである。
この場合は、洗浄残渣の除去が1回の熱処理で出来るため、スループットを確保できる利点がある。
12.前記項11記載の半導体装置の製造方法において、前記第2の温度は150から400℃である。
13.前記項11記載の半導体装置の製造方法において、前記第2の温度は165から350℃である。
14.前記項11記載の半導体装置の製造方法において、前記第2の温度は180から220℃である。
15.前記項11記載の半導体装置の製造方法において、前記第2の温度は200℃である。
16.前記項11から15のいずれか一つに記載の半導体装置の製造方法において、前記工程(b)は以下の工程を含む:
(b1)前記ウエハステージ上に置かれた前記半導体ウエハの周辺部をシーリングにより押さえる工程。
17.前記項11から15のいずれか一つに記載の半導体装置の製造方法において、前記工程(b)は以下の工程を含む:
(b1)前記半導体ウエハを静電チャックにより前記ウエハステージ上に吸着する工程;
(b2)前記半導体ウエハの周辺部を前記半導体ウエハと接触させずにシャドウリングにより覆い、前記ウエハステージの周辺部から不活性ガスを流入させる工程。
18.前記項11から15のいずれか一つに記載の半導体装置の製造方法において、前記工程(b)は以下の工程を含む:
(b1)前記ウエハステージ上に置かれた前記半導体ウエハの周辺部をシーリングにより押さえる工程;
(b2)前記ウエハステージの裏面側から不活性ガスを流入させる工程。
19.前記項11から18のいずれか一つに記載の半導体装置の製造方法において、前記第1のチャンバと前記第2のチャンバとの間の前記半導体ウエハの搬送は、真空搬送される。
20.前記項1、8または11記載の半導体装置の製造方法において、前記シリコンは電界効果トランジスタのゲート電極を構成する多結晶シリコンまたはソース・ドレイン拡散領域が形成された前記半導体ウエハを構成する単結晶シリコンである。
本願において開示される発明のうち、代表的なものによって得られる効果を簡単に説明すれば以下のとおりである。
ニッケルシリサイド層の電気的特性のばらつきが低減できるので、半導体素子の信頼性および製造歩留まりを向上させることができる。
本実施の形態において、便宜上その必要があるときは、複数のセクションまたは実施の形態に分割して説明するが、特に明示した場合を除き、それらはお互いに無関係なものではなく、一方は他方の一部または全部の変形例、詳細、補足説明等の関係にある。また、本実施の形態において、要素の数等(個数、数値、量、範囲等を含む)に言及する場合、特に明示した場合および原理的に明らかに特定の数に限定される場合等を除き、その特定の数に限定されるものではなく、特定の数以上でも以下でもよい。さらに、本実施の形態において、その構成要素(要素ステップ等も含む)は、特に明示した場合および原理的に明らかに必須であると考えられる場合等を除き、必ずしも必須のものではないことは言うまでもない。同様に、本実施の形態において、構成要素等の形状、位置関係等に言及するときは、特に明示した場合および原理的に明らかにそうでないと考えられる場合等を除き、実質的にその形状等に近似または類似するもの等を含むものとする。このことは、上記数値および範囲についても同様である。
また、本実施の形態においては、電界効果トランジスタを代表するMIS・FET(Metal Insulator Semiconductor Field Effect Transistor)をMISと略し、pチャネル型のMIS・FETをpMISと略し、nチャネル型のMIS・FETをnMISと略す。また、便宜的にMOSと記載しても非酸化膜を除外するものではない。また、本実施の形態において、ウエハと言うときは、Si(Silicon)単結晶ウエハを主とするが、それのみではなく、SOI(Silicon On Insulator)ウエハ、集積回路をその上に形成するための絶縁膜基板等を広く指すものとする。その形も円形またはほぼ円形のみでなく、正方形、長方形等も含むものとする。また、シリコン膜、シリコン部、シリコン部材等というときは、明らかにそうでないときまたはそうでない旨明示されているときを除き、純粋なシリコンばかりでなく、不純物を含むもの、SiGeまたはSiGeC等のシリコンを主要な成分の一つとする合金等(歪シリコンを含む)、添加物を含むものを含むことはいうまでもない。
また、多結晶シリコン等というときも、明らかにそうでないときまたはそうでない旨明示されているときを除き、典型的なものばかりでなく、アモルファスシリコン等も含むことはいうまでもない。
また、本実施の形態を説明するための全図において、同一機能を有するものは原則として同一の符号を付し、その繰り返しの説明は省略する。以下、本発明の実施の形態を図面に基づいて詳細に説明する。
また、ドライクリーニング技術に関しては、一之瀬らの日本国特許出願第2006−3704号(2006.1.11出願)、日本国特許出願第2006−12355号(2006.1.20出願)に開示されているので、それと重複する部分については、原則として繰り返さないこことする。
(実施の形態1)
本発明の実施の形態1によるCMOS(Complementary Metal Oxide Semiconductor)デバイスの製造方法を図1から図19を用いて説明する。図1から図11および図17から図19はCMOSデバイスの要部断面図、図12はシリサイド材料の成膜装置の概略平面図、図13はシリサイド材料の成膜工程図、図14はシリサイド材料の成膜装置に備わるドライクリーニング処理用チャンバの概略断面図、図15はシリサイド材料の成膜装置に備わるドライクリーニング処理用チャンバにおける半導体ウエハの処理工程を説明するためのチャンバの概略断面図、図16はゲート電極の電気抵抗のばらつきを示すグラフ図である。
まず、図1に示すように、例えばp型の単結晶シリコンからなる半導体基板(半導体ウエハと称する平面略円形状の半導体の薄板)1を用意する。次に、この半導体基板1を熱酸化してその表面に厚さ10nm程度の酸化シリコン膜2を形成した後、その上層にCVD(Chemical Vapor Deposition)法により、例えば厚さ100nm程度の窒化シリコン膜3を堆積する。続いてレジストパターンをマスクとして窒化シリコン膜3、酸化シリコン膜2および半導体基板1を順次ドライエッチングすることにより、素子分離領域の半導体基板1に深さ300nm程度の溝4aを形成する。
次に、図2に示すように、熱リン酸を用いたウェットエッチングにより窒化シリコン膜3を除去した後、溝4aの内部を含む半導体基板1の主面上にCVD法により絶縁膜4bを堆積する。続いて絶縁膜4bをCMP(Chemical Mechanical Polishing)法により研磨して、溝4aの内部に絶縁膜4bを残すことにより素子分離4を形成する。続いて半導体基板1を温度1000℃程度で熱処理することにより、溝4aに埋め込んだ絶縁膜4bを焼き締める。
次に、図3に示すように、pMIS形成領域をレジストパターン5により覆い、半導体基板1のnMIS形成領域にp型ウェル6を形成するためのp型不純物、例えばボロン(B)をイオン注入する。同様に、図4に示すように、nMIS形成領域をレジストパターン7により覆い、半導体基板1のpMIS形成領域にn型ウェル8を形成するためのn型不純物、例えばリン(P)またはヒ素(As)をイオン注入する。
次に、図5に示すように、例えばフッ酸(HF)水溶液を用いたウェットエッチングにより半導体基板1の表面を洗浄した後、半導体基板1を熱酸化して、例えば厚さ5nm程度のゲート絶縁膜9を半導体基板1の表面(p型ウェル6およびn型ウェル8のそれぞれの表面)に形成する。続いてゲート絶縁膜9上に、例えば厚さ200nm程度のアモルファスシリコン膜をCVD法により堆積した後、nMIS形成領域のアモルファスシリコン膜にn型不純物、例えばリンをイオン注入し、pMIS形成領域のアモルファスシリコン膜にp型不純物、例えばボロンをイオン注入する。
次に、半導体基板1に、例えば900℃、10秒程度の熱処理を施して、アモルファスシリコン膜に導入したn型不純物およびp型不純物を活性化させ、さらにnMIS形成領域のアモルファスシリコン膜をn型多結晶シリコン膜10nsに、pMIS形成領域のアモルファスシリコン膜をp型多結晶シリコン膜10psに変える。
次に、図6に示すように、レジストパターンをマスクとしたドライエッチングによりn型多結晶シリコン膜10nsを加工し、nMIS形成領域にn型多結晶シリコン膜10nsで構成されるゲート長50nm程度のゲート電極10nを形成する。同時に、レジストパターンをマスクとしたドライエッチングによりp型多結晶シリコン膜10psを加工し、pMIS形成領域にp型多結晶シリコン膜10psで構成されるゲート長50nm程度のゲート電極10pを形成する。
次に、図7に示すように、pMIS形成領域をレジストパターンで覆った後、nMISのゲート電極10nをマスクとして半導体基板1のnMIS形成領域にn型不純物、例えばリンまたはヒ素をイオン注入し、nMISの相対的に低濃度なソース・ドレイン拡張領域11を形成する。同様に、nMIS形成領域をレジストパターンで覆った後、pMISのゲート電極10pをマスクとして半導体基板1のpMIS形成領域にp型不純物、例えばBF(フッ化ボロン)をイオン注入し、pMISの相対的に低濃度なソース・ドレイン拡張領域12を形成する。上記ソース・ドレイン拡張領域11,12の深さは、例えば30nm程度である。
次に、図8に示すように、半導体基板1の主面上に、例えば厚さ10nm程度の酸化シリコン膜13をCVD法により堆積した後、さらに酸化シリコン膜13上に窒化シリコン膜14をCVD法により堆積する。
次に、図9に示すように、窒化シリコン膜14膜をRIE(Reactive Ion Etching)法により異方性エッチングして、nMISのゲート電極10nおよびpMISのゲート電極10pのそれぞれの側壁にサイドウォール15を形成する。
次に、図10に示すように、pMIS形成領域をレジストパターンで覆った後、nMISのゲート電極10nおよびサイドウォール15をマスクとしてp型ウェル6にn型不純物、例えばヒ素をイオン注入し、nMISの相対的に高濃度なソース・ドレイン拡散領域16を形成する。同様に、nMIS形成領域をレジストパターンで覆った後、pMISのゲート電極10pおよびサイドウォール15をマスクとしてn型ウェル8にp型不純物、例えばフッ化ボロンをイオン注入し、pMISの相対的に高濃度なソース・ドレイン拡散領域17を形成する。
次に、サリサイド技術によりnMISのゲート電極10nおよびソース・ドレイン拡散領域16の表面およびpMISのゲート電極10pおよびソース・ドレイン拡散領域17の表面に低抵抗のニッケルシリサイド層を形成する。上記ソース・ドレイン拡散領域16,17の深さは、例えば50nm程度である。
まず、図11(a)に示すように、半導体基板1の主面上にスパッタリング法によりニッケル膜18および窒化チタン膜19を順次堆積する。ニッケル膜18の厚さは、例えば10nm程度、窒化チタン膜19の厚さは、例えば15nm程度である。窒化チタン膜19はニッケル膜18の酸化を防止するためにニッケル膜18上に設けられ、窒化チタン膜19に代えてチタン膜を用いてもよい。ニッケル膜18および窒化チタン膜19の成膜には、図12に示すシリサイド材料の成膜装置20が用いられる。以下に、ニッケル膜18および窒化チタン膜19の形成方法について詳細に説明する。
成膜装置20は、第1搬送室21aと第2搬送室21bの2つの搬送室が配置され、第1搬送室21aの周囲に開閉手段であるゲートバルブ22を介してローダ23、アンローダ24および3つのチャンバ25,26,27が備わり、第2搬送室21bの周囲に開閉手段であるゲートバルブ22を介して2つのチャンバ28,29が備わったマルチチャンバタイプである。さらに、第1搬送室21aと第2搬送室21bとの間には2つの搬送用のチャンバ30,31が備わっている。第1搬送室21aは排気機構等により所定の真空度に保持され、その中央部には半導体ウエハSWを搬送するための多関節アーム構造の搬送用ロボット32aが設けられている。同様に、第2搬送室21bは排気機構等により所定の真空度に保持され、その中央部には半導体ウエハSWを搬送するための多関節アーム構造の搬送用ロボット32bが設けられている。
第1搬送室21aに備わるチャンバ25,26は相対的に高温の加熱処理を行う加熱処理用チャンバ、チャンバ27はドライクリーニング処置用チャンバである。第2搬送室21bに備わるチャンバ28はスパッタリング法によりニッケル膜を成膜するニッケル成膜用チャンバ、チャンバ29はスパッタリング法により窒化チタン膜を成膜する窒化チタン成膜用チャンバである。第1搬送室21aと第2搬送室21bとの間に備わるチャンバ30,31は第1搬送室21aと第1搬送室21bとの間での半導体ウエハSWの受け渡しを行う受渡用チャンバであり、また半導体ウエハSWの冷却にも用いられる冷却用チャンバである。なお、成膜装置20では、第1搬送室21aのみに備わるチャンバを3つとし、第2搬送室21bのみに備わるチャンバを2つとしたが、これに限定されるものではなく、同じ用途のチャンバまたは他の用途のチャンバを追加することも可能である。
まず、ローダ23に複数の半導体ウエハSWを搭載したフープ(Front Open Unified Pod)を載せた後(図13の工程P1)、搬送用ロボット32aによってフープから1枚の半導体ウエハSWを取り出し、第1搬送室21aへ真空搬入する。フープは半導体ウエハSWのバッチ搬送用の密閉収納容器であり、通常25枚、12枚、6枚等のバッチ単位で半導体ウエハSWを収納する。フープの容器外壁は微細な通気フィルタ部を除いて機密構造になっており、塵埃はほぼ完全に排除される。従って、クラス1000の雰囲気で搬送しても、内部はクラス1の清浄度が保てるようになっている。成膜装置20とのドッキングは、フープの扉を成膜装置20の内部に引き込むことによって清浄さを保持した状態で行われる。
次に、搬送用ロボット32aによって半導体ウエハSWを第1搬送室21aからドライクリーニング処理用のチャンバ27へ真空搬送する(図13の工程P2)。図14にチャンバ27の概略断面図を示す。チャンバ27は主としてウエハステージ27a、ウエハリフトピン27b、シャワーヘッド27cおよびリモートプラズマ発生装置27dによって構成される。ウエハステージ27aおよびウエハリフトピン27bは独立した昇降機構を持ち、シャワーヘッド27cと半導体ウエハSWとの距離および半導体ウエハSWとウエハステージ27aとの距離を任意に制御することができる。また、ウエハステージ27aの上方に設置されたシャワーヘッド27cは常に一定温度に維持されており、その温度は例えば180℃である。
チャンバ27へ半導体ウエハSWを搬入する時は、図15(a)に示すように、ウエハステージ27aを下降させ、ウエハリフトピン27bを上昇させて、ウエハリフトピン27b上に半導体ウエハSWを載せる。シャワーヘッド27cと半導体ウエハSWとの距離は、例えば16.5±12.7mm、半導体ウエハSWとウエハステージ27aとの距離は、例えば25.4±17.8mmに設定される。
続いて半導体ウエハSWの主面上をドライクリーニング処理する時は、図15(b)に示すように、ウエハステージ27aを上昇させ、ウエハリフトピン27bを下降させて、ウエハステージ27a上に半導体ウエハSWを載せる。シャワーヘッド27cと半導体ウエハSWとの距離は、例えば17.8±5.1mmに設定される。
ドライクリーニング処理時には、リモートプラズマ発生装置27dにおいて還元ガス、例えばNFガスおよびNHガスを添加したArガスを励起させてプラズマを生成し、このプラズマをチャンバ27内へ導入する。チャンバ27内に導入されたプラズマをシャワーヘッド27cを介して半導体ウエハSWの主面上に供給することにより、プラズマとシリコン(nMISのゲート電極10nを構成するn型多結晶シリコン膜10nsとソース・ドレイン拡散領域16が形成された半導体基板1を構成する単結晶シリコンおよびpMISのゲート電極10pを構成するp型多結晶シリコン膜10psとソース・ドレイン拡散領域17が形成された半導体基板1を構成する単結晶シリコン)の表面に形成された自然酸化膜との間で起きる、例えば式(1)に示す還元反応によって自然酸化膜が除去される。ドライクリーニング処理時におけるプロセス条件は、例えばシャワーヘッド温度180℃、NFガス流量14sccm、NHガス流量70sccm、圧力400Pa、プラズマパワー30Wである。
SiO+NF+NH→ (NHSiF+O 式(1)
この時、還元反応により生成された生成物((NHSiF)が半導体ウエハSWの主面上に残留する。さらに、半導体ウエハSWはウエハステージ27a上に載せてあるだけであり、上記生成物は半導体ウエハSWの側面および裏面の一部にも残留する。半導体ウエハSWの側面および裏面の一部に残留する生成物は、半導体ウエハSWを他のチャンバへ搬送する場合などにおいて剥がれ、汚染や発塵の原因となる。そこで、ドライクリーニング処置に続いて、チャンバ27内において半導体ウエハSWに熱処理を施すことにより、半導体ウエハSWの主面上に残留する生成物を除去すると同時に、半導体ウエハSWの側面および裏面の一部に残留する生成物を除去する。
続いて半導体ウエハSWを熱処理する時は、図15(c)に示すように、ウエハステージ27aを下降させ、ウエハリフトピン27bを上昇させて、半導体ウエハSWを温度180℃に設定されたシャワーヘッド27cへ近づける。シャワーヘッド27cと半導体ウエハSWとの距離は、例えば3.8±2.6mm、半導体ウエハSWとウエハステージ27aとの距離は、例えば5.9mm以上に設定される。
熱処理時には、シャワーヘッド27cの加熱温度(180℃)を利用して半導体ウエハSWが加熱される。半導体ウエハSWの温度は100から150℃となり、上記ドライクリーニング処置時に半導体ウエハSWの主面上に形成された生成物((NHSiF)が、例えば式(2)に示す反応によって昇華し除去される。さらに、この熱処理によって半導体ウエハSWの側面および裏面も加熱されて、側面および裏面の一部に残留した生成物も除去される。
(NHSiF→ SiF+2NH+2HF 式(2)
しかしながら、上記ドライクリーニング処理時に半導体ウエハSWに形成された生成物の組成が(NHSiFから僅かでもずれていると、温度100から150℃の熱処理では式(2)の反応が起こり難く、完全に生成物を除去することができなくなり、極微少の生成物が半導体ウエハSWの主面上に残留する。前述したように、半導体ウエハSWの主面上に微少な生成物が残留していると、その後半導体ウエハSWの主面上に形成されるニッケルシリサイド層の電気抵抗にばらつきが生じる。そこで、次工程において、半導体ウエハSWに150℃よりも高い温度の熱処理を施して、半導体ウエハSWの主面上に残留した微少の生成物を除去する。
次に、搬送用ロボット32aによって半導体ウエハSWをドライクリーニング処理用のチャンバ27から加熱処理用のチャンバ25(またはチャンバ26)へ第1搬送室21aを介して真空搬送し、チャンバ25(またはチャンバ26)に備わるステージ上に載せる(図13の工程P3)。チャンバ25(またはチャンバ26)のステージ上に半導体ウエハSWを載せることにより、半導体ウエハSWを所定の温度で加熱し、100から150℃の温度では昇華せずに半導体ウエハSWの主面上に残留した生成物を昇華させて除去する。半導体ウエハSWの主面上での温度は、例えば150から400℃が適切な範囲と考えられる(他の条件によってはこの範囲に限定されないことはもとよりである)。また、量産に適した範囲としては165から350℃が考えられるが、さらに180から220℃等の200℃を中心値とする範囲が最も好適と考えられる。
次に、搬送用ロボット32aによって半導体ウエハSWを加熱処理用のチャンバ25(またはチャンバ26)から冷却・受渡用のチャンバ30(またはチャンバ31)へ第1搬送室21aを介して真空搬送し、チャンバ30(またはチャンバ31)に備わるステージ上に載せる(図13の工程P4)。チャンバ30(またはチャンバ31)のステージ上に半導体ウエハSWを載せることにより、半導体ウエハSWは冷却される。
次に、搬送用ロボット32bによって半導体ウエハSWを冷却・受渡用のチャンバ30(またはチャンバ31)からニッケル成膜用のチャンバ28へ第2搬送室21bを介して真空搬送する(図13の工程P5)。チャンバ28内を排気機構により所定の真空度、例えば1.33×10−6Pa程度とした後、半導体ウエハSWを所定の温度に加熱し、チャンバ28内へArガスを所定の流量により導入してスパッタリング法により半導体ウエハSWの主面上へニッケル膜を堆積する。ニッケル膜の厚さは、例えば10nmであり、成膜時におけるスパッタリング条件は、例えば成膜温度40℃、Arガス流量13sccmである。
次に、搬送用ロボット32bによって半導体ウエハSWをニッケル成膜用のチャンバ28から窒化チタン成膜用のチャンバ29へ第2搬送室21bを介して真空搬送する(図13の工程P6)。チャンバ29内を排気機構により所定の真空度とした後、半導体ウエハSWを所定の温度に加熱し、チャンバ29内へArガスおよびNガスを所定の流量により導入してスパッタリング法により半導体ウエハSWの主面上へ窒化チタン膜を堆積する。窒化チタン膜の厚さは、例えば15nmであり、成膜時におけるスパッタリング条件は、例えば成膜温度40℃、Arガス流量28sccm、窒素ガス流量80sccmである。
次に、搬送用ロボット32bによって半導体ウエハSWを窒化チタン成膜用のチャンバ29から冷却・受渡用のチャンバ30(またはチャンバ31)へ第2搬送室21bを介して真空搬送する(図13の工程P7)。
次に、搬送用ロボット32aによって半導体ウエハSWを冷却・受渡用のチャンバ30(またはチャンバ31)からアンローダ24へ真空搬出し(図13の工程P8)、アンローダ24に置かれたフープへ載せる(図13の工程P8)。
その後、半導体基板1に熱処理を施すことにより、図11(b)に示すように、nMISのゲート電極10nとソース・ドレイン拡散領域16の表面およびpMISのゲート電極10pとソース・ドレイン拡散領域17の表面に、厚さ20nm程度のニッケルシリサイド(NiSi)層33を形成する。以下に、ニッケルシリサイド層を形成する熱処理方法について説明する。
まず、ニッケル膜18および窒化チタン膜19が順次堆積された半導体基板1にRTA(Rapid Thermal Anneal)法を用いて温度320℃程度の熱処理を30秒程度施すことにより、ニッケル膜18とnMISのゲート電極10nを構成するn型多結晶シリコン膜10nsおよびニッケル膜18とnMISのソース・ドレイン拡散領域16が形成された半導体基板1を構成する単結晶シリコンとを選択的に反応させてニッケルシリサイド層33を形成する。同様に、ニッケル膜18とpMISのゲート電極10pを構成するp型多結晶質シリコン膜10psおよびニッケル膜18とpMISのソース・ドレイン拡散領域17が形成された半導体基板1を構成する単結晶シリコンとを選択的に反応させてニッケルシリサイド層33を形成する。
次に、硫酸を用いたウエット洗浄、または硫酸と過酸化水素水とを用いたウエット洗浄等により、未反応のニッケル膜18および窒化チタン膜19を除去した後、半導体基板1にRTA法を用いて温度550℃程度の熱処置を30秒程度施すことにより、ニッケルシリサイド層33の低抵抗化を行う。
このように、本発明の実施の形態1では、ニッケルシリサイド層33の形成工程において、ドライクリーニング処理の工程(図13の工程P2)と半導体基板1の主面上にニッケル膜18を堆積する工程(図13の工程P4)と間に、ドライクリーニング処置時に生成された生成物を除去することを目的とした150から400℃の熱処理が半導体基板1に施される(図13の工程P3)。これによって、その後、半導体基板1の主面上に堆積されるニッケル膜18の自己整合反応が生成物によって阻害されることがなく、nMISのゲート電極10nおよびソース・ドレイン拡散領域16の表面ならびにpMISのゲート電極10pおよびソース・ドレイン拡散領域17の表面で均一に起こり、電気抵抗のばらつきの小さいニッケルシリサイド層33を得ることができる。
図16に、その表面に厚さ20nm程度のニッケルシリサイド層が形成されたnMISおよびpMISのゲート電極のシート抵抗の分布図を示す。なお、図16にはドライクリーニング処理後に130℃の熱処理のみが施されたニッケルシリサイド層を有するゲート電極のシート抵抗の分布と、ドライクリーニング処理後に130℃と200℃の熱処理が施されたニッケルシリサイド層を有するゲート電極のシート抵抗の分布を示す。図16から明らかなように、130℃の熱処理に200℃の熱処置を追加することによって、自己整合により形成されたニッケルシリサイド層を有するゲート電極のシート抵抗のばらつきを小さくすることができる。
nMISのゲート電極10nおよびソース・ドレイン拡散領域16の表面ならびにpMISのゲート電極10pおよびソース・ドレイン拡散領域17の表面に低抵抗のニッケルシリサイド層33を形成した後は、CMOSデバイスの他、半導体基板1上に形成された種々の半導体素子を電気的に接続する配線が形成される。
次に、図17に示すように、半導体基板1の主面上にCVD法により窒化シリコン膜を堆積して第1絶縁膜34aを形成する。続いて第1絶縁膜34a上にプラズマCVD法によりTEOS(Tetra Ethyl Ortho Silicate)膜を堆積して第2絶縁膜34bを形成し、第1および第2絶縁膜34a,34bからなる層間絶縁膜を形成する。その後、第2絶縁膜34bの表面をCMP法により研磨する。下地段差に起因して第1絶縁膜34aの表面に凹凸形状が形成されていても、第2絶縁膜34bの表面をCMP法により研磨することにより、その表面が平坦化された層間絶縁膜が得られる。
次に、レジストパターンをマスクとして第1および第2絶縁膜34a,34bをエッチングし、nMISおよびpMISのニッケルシリサイド層33に達する接続孔35を所定の箇所に形成する。続いて半導体基板1の主面上にバリアメタル膜36を形成する。バリアメタル膜36は、例えばチタン膜、窒化チタン膜等である。さらにバリアメタル膜36上に金属膜、例えばタングステン膜を堆積し、例えばCMP法でこの金属膜の表面を平坦化することによって接続孔35の内部に金属膜を埋め込みプラグ37を形成する。
次に、半導体基板1の主面上にストッパ絶縁膜38および配線形成用の絶縁膜39を順次形成する。ストッパ絶縁膜38は絶縁膜39への溝加工の際にエッチングストッパとなる膜であり、絶縁膜39に対してエッチング選択比を有する材料を用いる。ストッパ絶縁膜38は、例えばプラズマCVD法により形成される窒化シリコン膜とし、絶縁膜39は、例えばプラズマCVD法により形成される酸化シリコン膜とすることができる。なお、ストッパ絶縁膜38と絶縁膜39には次に説明する第1層目の配線が形成される。
次に、シングルダマシン法により第1層目の配線を形成する。まず、レジストパターンをマスクとしたドライエッチングによってストッパ絶縁膜38および絶縁膜39の所定の領域に配線溝40を形成した後、半導体基板1の主面上にバリアメタル膜41を形成する。バリアメタル膜41は、例えば窒化チタン膜、タンタル膜または窒化タンタル膜等である。続いてCVD法またはスパッタリング法によりバリアメタル膜41上に銅のシード層を形成し、さらに電解めっき法を用いてシード層上に銅めっき膜を形成する。銅めっき膜により配線溝40の内部を埋め込む。続いて配線溝40以外の領域の銅めっき膜、シード層およびバリアメタル膜41をCMP法により除去して、銅を主導電材料とする第1層目の配線42を形成する。
次に、デュアルダマシン法により第2層目の配線を形成する。まず、図18に示すように、半導体基板1の主面上にキャップ絶縁膜43、層間絶縁膜44および配線形成用のストッパ絶縁膜45を順次形成する。キャップ絶縁膜43および層間絶縁膜44には、後に説明するように接続孔が形成される。キャップ絶縁膜43は、層間絶縁膜44に対してエッチング選択比を有する材料で構成され、例えばプラズマCVD法により形成される窒化シリコン膜とすることができる。さらにキャップ絶縁膜43は第1層目の配線42を構成する銅の拡散を防止する保護膜としての機能を有している。層間絶縁膜44は、例えばプラズマCVD法により形成されるTEOS膜とすることができる。ストッパ絶縁膜45は、層間絶縁膜44および後にストッパ絶縁膜45の上層に堆積される配線形成用の絶縁膜に対してエッチング選択比を有する絶縁材料で構成され、例えばプラズマCVD法により形成される窒化シリコン膜とすることができる。
次に、孔形成用のレジストパターンをマスクとしたドライエッチングによりストッパ絶縁膜45を加工した後、ストッパ絶縁膜45上に配線形成用の絶縁膜46を形成する。絶縁膜46は、例えばTEOS膜とすることができる。
次に、配線溝形成用のレジストパターンをマスクとしたドライエッチングにより絶縁膜46を加工する。この際、ストッパ絶縁膜45がエッチングストッパとして機能する。続いてストッパ絶縁膜45および配線溝形成用のレジストパターンをマスクとしたドライエッチングにより層間絶縁膜44を加工する。この際、キャップ絶縁膜43がエッチングストッパとして機能する。続いて露出したキャップ絶縁膜43をドライエッチングにより除去することにより、キャップ絶縁膜43および層間絶縁膜44に接続孔47が形成され、ストッパ絶縁膜45および絶縁膜46に配線溝48が形成される。
次に、接続孔47および配線溝48の内部に第2層目の配線を形成する。第2層目の配線は、バリアメタル層および主導電層である銅膜からなり、この配線と下層配線である第1層目の配線42とを接続する接続部材は第2層目の配線と一体に形成される。まず、接続孔47および配線溝48の内部を含む半導体基板1の主面上にバリアメタル膜49を形成する。バリアメタル膜49は、例えば窒化チタン膜、タンタル膜または窒化タンタル膜等である。続いてCVD法またはスパッタリング法によりバリアメタル膜49上に銅のシード層を形成し、さらに電解めっき法を用いてシード層上に銅めっき膜を形成する。銅めっき膜により接続孔47および配線溝48の内部を埋め込む。続いて接続孔47および配線溝40以外の領域の銅めっき膜、シード層およびバリアメタル膜49をCMP法により除去して、第2層目の配線50を形成する。
その後、図19に示すように、例えば前述した第2層目の配線50と同様な方法によりさらに上層の配線を形成する。図19では、第3層目から第6層目の配線51,52,53,54を形成したCMOSデバイスを例示している。続いて第6層目の配線54上に窒化シリコン膜55を形成し、窒化シリコン膜55上に酸化シリコン膜56を形成する。これら窒化シリコン膜55および酸化シリコン膜56は、外部からの水分や不純物の侵入防止およびα線の透過の抑制を行うパッシベーション膜として機能する。
次に、窒化シリコン膜55および酸化シリコン膜56をレジストパターンをマスクとしたエッチングにより加工して、第6層目の配線54の一部(ボンディングパッド部)を露出させる。続いて露出した第6層目の配線54上に金膜およびニッケル膜等の積層膜からなるバンプ下地電極57を形成し、バンプ下地電極57上に金または半田等からなるバンプ電極58を形成することにより、本実施の形態1であるCMOSデバイスが略完成する。なお、このバンプ電極58は外部接続用電極となる。この後、半導体ウエハSWから半導体チップに個々に切り分けられ、パッケージ基板等に実装されて半導体装置が完成するが、それらの説明は省略する。
なお、本実施の形態1のドライクリーニング処理では、リモートプラズマ発生装置27dにおいて還元ガス、例えばNFガスおよびNHガスを添加したArガス(プラズマ励起用のガスとしてはArガスが多用されるが、その他の希ガスまたはそれらの混合ガスでもよい)を励起させてプラズマを生成し、このプラズマをチャンバ27内へ導入して自然酸化膜を還元反応により除去したが、プラズマを用いずに、HFガスとNHガスまたはNFガスとNHガス等の還元ガスをチャンバ27内へ導入して自然酸化膜を還元反応により除去してもよい。
また、リモートプラズマ装置に限定されるものではなく、その他の特性に問題がなければ、通常のプラズマ装置を用いても問題はない。リモートプラズマは基板に損傷を与えない利点がある。
また、プラズマを用いて処理する場合は、上記ガスの組み合わせに限らず、窒素、水素、フッ素(これらの複合ラジカルを含む)のそれぞれのラジカルまたは反応種を生成するものであれば、特にこのプロセスに対して有害なものでなければ、その他のガスの組み合わせでもよい。すなわち、窒素、水素およびフッ素ラジカル生成ガス(混合ガス含む)とプラズマ励起ガスとその他の添加ガス等との混合ガス雰囲気を適宜用いればよい。
また、還元ガス等の反応ガスは上記ガスに限らず、シリコン表面の酸化膜と比較的低温で反応して気化する反応種を生成するものであればよい。
このように、本実施の形態1によれば、nMISのゲート電極10nとソース・ドレイン拡散領域16の表面およびpMISのゲート電極10pとソース・ドレイン拡散領域17の表面にニッケルシリサイド層33を形成する工程において、ドライクリーニング処置により半導体基板1の主面上に残留する生成物が150℃よりも高い温度の熱処理により除去されるので、シリコン(nMISのゲート電極10nを構成するn型多結晶シリコン膜10nsとソース・ドレイン拡散領域16が形成された半導体基板1を構成する単結晶シリコンおよびpMISのゲート電極10pを構成するp型多結晶シリコン膜10psとソース・ドレイン拡散領域17が形成された半導体基板1を構成する単結晶シリコン)とニッケル膜18との自己整合反応が生成物により阻害されることなく均一に起こり、電気的抵抗のばらつきの小さいニッケルシリサイド層33を得ることができる。
(実施の形態2)
前述した実施の形態1で説明したように、ニッケルシリサイド層33の形成工程において行われるドライクリーニング処理では、半導体ウエハSWの主面上、側面および裏面の一部に生成物が残留する。このため、ドライクリーニング処理用のチャンバ27に備わる180℃に設定されたシャワーヘッド27cによって半導体ウエハSWを100から150℃の温度で加熱して(NHSiFの組成の生成物を除去し、さらに、加熱処理用のチャンバ25,26により半導体ウエハを150℃よりも高い温度で加熱して(NHSiFから僅かに組成のずれた生成物の除去を行っている。
本発明による実施の形態2では、ドライクリーニング処理用のチャンバ27に備わるシャワーヘッド27CHの温度を180℃よりも高い温度、例えば250℃に設定し、ドライクリーニング処理の直後に、シャワーヘッド27CHにより半導体ウエハSWを180から220℃の温度で加熱して(NHSiFの組成の生成物および(NHSiFから僅かに組成のずれた生成物の除去を行う。
本発明の実施の形態2によるニッケルシリサイド層の形成方法を図20および図21を用いて説明する。図20はシリサイド材料の成膜工程図、図21はシリサイド材料の成膜装置に備わるドライクリーニング処理用チャンバの概略断面図である。なお、シリサイド材料の成膜装置は、前述した実施の形態1の成膜装置20を用いる。
まず、前述した実施の形態1と同様にして、半導体ウエハSWをローダ23からドライクリーニング処理用のチャンバ27へ真空搬送する(図20の工程P1,P2)。チャンバ27に備わるシャワーヘッド27CHは常に一定温度に維持されており、その温度は例えば250℃である。
チャンバ27へ半導体ウエハSWを搬入する時は、図21(a)に示すように、ウエハステージ27aを下降させ、ウエハリフトピン27bを上昇させて、ウエハリフトピン27b上に半導体ウエハSWを載せる。シャワーヘッド27CHと半導体ウエハSWとの距離は、例えば16.5±12.7mm、半導体ウエハSWとウエハステージ27aとの距離は、例えば25.4±17.8mmに設定される。
続いて半導体ウエハSWの主面上をドライクリーニング処理する時は、図21(b)に示すように、ウエハステージ27aを上昇させ、ウエハリフトピン27bを下降させて、ウエハステージ27a上に半導体ウエハSWを載せる。シャワーヘッド27CHと半導体ウエハSWとの距離は、例えば17.8±5.1mmに設定される。ドライクリーニング処理が行われた半導体ウエハSWの主面上、側面および裏面の一部には、還元反応により生成された生成物((NHSiF)が残留する。
続いて半導体ウエハSWを熱処理する時は、図21(c)に示すように、ウエハステージ27aを下降させ、ウエハリフトピン27bを上昇させて、半導体ウエハSWを温度250℃に設定されたシャワーヘッド27CHへ近づける。シャワーヘッド27cと半導体ウエハSWとの距離は、例えば3.8±2.6mm、半導体ウエハSWとウエハステージ27aとの距離は、例えば5.9mm以上に設定される。
熱処理時には、シャワーヘッド27CHの加熱温度(250℃)を利用して半導体ウエハSWが加熱されるので、半導体ウエハSWの温度は150℃よりも高くなり、上記ドライクリーニング処置時に半導体ウエハSWの主面上、側面および裏面の一部に残留した(NHSiFの組成の生成物が除去され、さらに(NHSiFから僅かに組成のずれた生成物も除去される。
次に、搬送用ロボット32aによって半導体ウエハSWをドライクリーニング処理用のチャンバ27から冷却・受渡用のチャンバ30(またはチャンバ31)へ第1搬送室21aを介して真空搬送し、チャンバ30(またはチャンバ31)に備わるステージ上に載せる(図20の工程P3)。チャンバ30(またはチャンバ31)のステージ上に半導体ウエハSWを載せることにより、半導体ウエハSWは冷却される。
その後は前述した実施の形態1と同様に、搬送用ロボット32bによってニッケル成膜用のチャンバ28においてニッケル膜を堆積し(図20の工程P4)、窒化チタン成膜用のチャンバ29においてニッケル膜上に窒化チタン膜を堆積した後(図20の工程P5)、半導体ウエハSWを冷却・受渡用のチャンバ30(またはチャンバ31)へ真空搬送する(図20の工程P6)。さらに、搬送用ロボット32aによって半導体ウエハSWをアンローダ24へ真空搬出し、アンローダ24に置かれたフープへ載せる(図20の工程P7)。
このように、本実施の形態2によれば、ドライクリーニング処理において半導体ウエハSWの側面および裏面の一部に生成した不要な生成物は、ドライクリーニング処理に続くシャワーヘッド27CHによる180から220℃の熱処理によって除去されるので、前述した実施の形態1で行う熱処理用のチャンバ25,26における熱処理工程が不要となり、前述した実施の形態1よりも製造工程数を削減することができる。
(実施の形態3)
前述した実施の形態1で説明したように、ニッケルシリサイド層33の形成工程において行われるドライクリーニング処理では、一般に半導体ウエハSWをウエハステージ27aに単に置くだけであり、このため、半導体ウエハSWの主面上のみならず、半導体ウエハSWの側面および裏面の一部にも(NHSiFの組成の生成物が残留する。半導体ウエハSWの側面および裏面の一部に残留した生成物は、半導体ウエハSWを他のチャンバへ搬送する場合などにおいて剥がれて、汚染や発塵の原因となる。そこで、前述した実施の形態1および2では、ドライクリーニング処置に続いて、同じチャンバ27内で半導体ウエハSWに熱処理を施すことにより、半導体ウエハSWの主面上に残留する生成物を除去すると同時に、半導体ウエハSWの側面および裏面の一部に残留する生成物を除去している。
本発明による実施の形態3では、ドライクリーニング処理時において半導体ウエハSWの裏面へのドライクリーニングガスの回り込みを防ぐことにより、半導体ウエハSWの側面および裏面の一部への生成物の生成を防止する。従って、前述した実施の形態1においてドライクリーニング処理後に続いて行われるシャワーヘッド27cによる半導体ウエハSWの熱処理、すなわちチャンバ27に備わるウエハステージ27aを下降させ、ウエハリフトピン27bを上昇させて、半導体ウエハSWを温度180℃に設定されたシャワーヘッド27cへ近づけることによる熱処理(図15(c))は不要となる。なお、ドライクリーニング処理により半導体ウエハSWの主面上に残留する生成物は、熱処理用のチャンバ25,26における150℃よりも高い温度の熱処理により除去することができる。
本発明の実施の形態3によるドライクリーニング処理の方法を図22を用いて説明する。図22(a)、(b)および(c)は、それぞれシリサイド材料の成膜装置に備わるドライクリーニング処理用チャンバの概略断面図である。
図22(a)は、半導体ウエハSWの側面および裏面の一部への生成物の生成を防止する第1のドライクリーニング処理方法を説明する図である。半導体ウエハSWはウエハステージ27a上に置かれており、昇降機構を有するシーリング27eを半導体ウエハSWの主面上の周囲に接触させて押さえることにより、半導体ウエハSWの周囲および裏面へのドライクリーニングガスの回り込みを防いでいる。
図22(b)は、半導体ウエハSWの側面および裏面の一部への生成物の生成を防止する第2のドライクリーニング処理方法を説明する図である。半導体ウエハSWは静電チャック機能を有するウエハステージ27a上に置かれており、半導体ウエハSWの裏面とウエハステージ27aとの間に隙間を形成することなく、ドライクリーニング処理を行うことができる。静電チャックは、ウエハステージ27aの上に誘電層を設けてウエハステージ27aと半導体ウエハSWとの間に電圧を印加し、両者間に発生した力によって半導体ウエハSWを吸着する機構である。吸着方式の違いによって誘電体として絶縁材料を使用するクーロン力型とジョンソン・ラベック力型とに分類されるが、低電圧で強い吸着力を有するジョンソン・ラベック力型はアルミナなどのセラミック製の静電チャックに多く、耐熱性と耐プラズマ性の面で有利であることから普及している。静電チャックは機械的な保持具を使用しないことから半導体ウエハSWの全面を均一に処理することができる。
さらに、昇降機構を有するシャドウリング27fを半導体ウエハSWの主面上の周囲に備えており、半導体ウエハSWに接触させずに半導体ウエハSWの周囲をシャドウリング27fによって覆い、ウエハステージ27aの周囲から上方に向けてHeガス等の不活性ガスを流す。これにより、半導体ウエハSWの周囲および裏面へのドライクリーニングガスの回り込みを防いでいる。
図22(c)は、半導体ウエハSWの側面および裏面の一部への生成物の生成を防止する第3のドライクリーニング処理方法を説明する図である。半導体ウエハSWはウエハステージ27a上に置かれており、昇降機構を有するシーリング27eを半導体ウエハSWの主面上の周囲に接触させて押さえることにより、半導体ウエハSWの周囲および裏面へのドライクリーニングガスの回り込みを防いでいる。
さらに、シーリング27eの下部にはシーリング27eにつながる排気室27gが備わっており、ウエハステージ27aは排気室27g内に置かれている。排気室27gにはHeガス等の不活性ガスを排気室27gへ導入する配管と排気室27gから排気する配管とが設けられており、半導体ウエハSWの周囲および裏面へ不活性ガスを流入できる構造となっている。
このように、本実施の形態3によれば、ドライクリーニング処理において半導体ウエハSWの側面および裏面の一部に不要な生成物が生成せず、ドライクリーニング処理に続くシャワーヘッド27cによる半導体ウエハSWの熱処理が不要となるので、前述した実施の形態1よりも製造工程数を削減することができる。
以上、本発明者によってなされた発明を実施の形態に基づき具体的に説明したが、本発明は前記実施の形態に限定されるものではなく、その要旨を逸脱しない範囲で種々変更可能であることはいうまでもない。
本発明は、サリサイド技術により形成される金属シリサイド、特にニッケルシリサイドを有する半導体装置に利用することができる。
本発明の実施の形態1であるCMOSデバイスの製造工程を示す半導体基板の要部断面図である。 図1に続くCMOSデバイスの製造工程中の図1と同じ箇所の要部断面図である。 図2に続くCMOSデバイスの製造工程中の図1と同じ箇所の要部断面図である。 図3に続くCMOSデバイスの製造工程中の図1と同じ箇所の要部断面図である。 図4に続くCMOSデバイスの製造工程中の図1と同じ箇所の要部断面図である。 図5に続くCMOSデバイスの製造工程中の図1と同じ箇所の要部断面図である。 図6に続くCMOSデバイスの製造工程中の図1と同じ箇所の要部断面図である。 図7に続くCMOSデバイスの製造工程中の図1と同じ箇所の要部断面図である。 図8に続くCMOSデバイスの製造工程中の図1と同じ箇所の要部断面図である。 図9に続くCMOSデバイスの製造工程中の図1と同じ箇所の要部断面図である。 (a)は図10に続くCMOSデバイスの製造工程中の図1と同じ箇所の要部断面図、(b)は同図(a)に続くCMOSデバイスの製造工程中の図1と同じ箇所の要部断面図である。 本発明の実施の形態1によるシリサイド材料の成膜装置の概略平面図である。 本発明の実施の形態1によるシリサイド材料の成膜工程図である。 本発明の実施の形態1によるシリサイド材料の成膜装置に備わるドライクリーニング処理用チャンバの概略断面図である。 (a)、(b)および(c)は、それぞれ本発明の実施の形態1によるシリサイド材料の成膜装置に備わるドライクリーニング処理用チャンバにおける半導体ウエハの処理工程を説明するためのチャンバの概略断面図である。 本発明の実施の形態1によるニッケルシリサイド層が形成されたゲート電極の電気抵抗のばらつきを示すグラフ図である。 図11に続くCMOSデバイスの製造工程中の図1と同じ箇所の要部断面図である。 図17に続くCMOSデバイスの製造工程中の図1と同じ箇所の要部断面図である。 図18に続くCMOSデバイスの製造工程中の図1と同じ箇所の要部断面図である。 本発明の実施の形態2によるシリサイド材料の成膜工程図である。 (a)、(b)および(c)は、それぞれ本発明の実施の形態2によるシリサイド材料の成膜装置に備わるドライクリーニング処理用チャンバにおける半導体ウエハの処理工程を説明するためのチャンバの概略断面図である。 (a)、(b)および(c)は、それぞれ本発明の実施の形態3によるシリサイド材料の成膜装置に備わるドライクリーニング処理用チャンバの概略断面図である。
符号の説明
1 半導体基板
2 酸化シリコン膜
3 窒化シリコン膜
4 素子分離
4a 溝
4b 絶縁膜
5 レジストパターン
6 p型ウェル
7 レジストパターン
8 n型ウェル
9 ゲート絶縁膜
10n ゲート電極
10ns n型多結晶シリコン膜
10p ゲート電極
10ps p型多結晶シリコン膜
11 ソース・ドレイン拡張領域
12 ソース・ドレイン拡張領域
13 酸化シリコン膜
14 窒化シリコン膜
15 サイドウォール
16 ソース・ドレイン拡散領域
17 ソース・ドレイン拡散領域
18 ニッケル膜
19 窒化チタン膜
20 成膜装置
21a 第1搬送室
21b 第2搬送室
22 ゲートバルブ
23 ローダ
24 アンローダ
25,26,27 チャンバ
27a ウエハステージ
27b ウエハリフトピン
27c,27CH シャワーヘッド
27d リモートプラズマ発生装置
27e シーリング
27f シャドウリング
27g 排気室
28,29,30,31 チャンバ
32a,32b 搬送用ロボット
33 ニッケルシリサイド層
34a 第1絶縁膜
34b 第2絶縁膜
35 接続孔
36 バリアメタル膜
37 プラグ
38 ストッパ絶縁膜
39 絶縁膜
40 配線溝
41 バリアメタル膜
42 配線
43 キャップ絶縁膜
44 層間絶縁膜
45 ストッパ絶縁膜
46 絶縁膜
47 接続孔
48 配線溝
49 バリアメタル膜
50,51,52,53,54 配線
55 窒化シリコン膜
56 酸化シリコン膜
57 バンプ下地電極
58 バンプ電極
SW 半導体ウエハ

Claims (20)

  1. 自己整合反応によりニッケルシリサイド層を形成する半導体装置の製造方法であって、シリコン上にニッケル膜を堆積する前に以下の工程を含む半導体装置の製造方法:
    (a)第1のチャンバに備わるウエハステージ上に半導体ウエハを置く工程;
    (b)前記ウエハステージの上方に設置されたシャワーヘッドを介して還元ガスを供給し、前記半導体ウエハの主面上の前記シリコンの表面をドライクリーニング処理する工程;
    (c)前記シャワーヘッドの加熱温度を利用した第1の温度で前記半導体ウエハを熱処理する工程;
    (d)前記半導体ウエハを前記第1のチャンバから第2のチャンバへ搬送する工程;
    (e)前記第2のチャンバにおいて、前記第1の温度よりも高い第2の温度で前記半導体ウエハを熱処理する工程。
  2. 請求項1記載の半導体装置の製造方法おいて、前記第1の温度は100から150℃である。
  3. 請求項1記載の半導体装置の製造方法において、前記第2の温度は150から400℃である。
  4. 請求項1記載の半導体装置の製造方法において、前記第2の温度は165から350℃である。
  5. 請求項1記載の半導体装置の製造方法において、前記第2の温度は180から220℃である。
  6. 請求項1記載の半導体装置の製造方法において、前記第2の温度は200℃である。
  7. 請求項1記載の半導体装置の製造方法において、前記第1のチャンバと前記第2のチャンバとの間の前記半導体ウエハの搬送は、真空搬送される。
  8. 自己整合反応によりニッケルシリサイド層を形成する半導体装置の製造方法であって、シリコン上にニッケル膜を堆積する前に以下の工程を含む半導体装置の製造方法:
    (a)第1のチャンバに備わるウエハステージ上に半導体ウエハを置く工程;
    (b)前記ウエハステージの上方に設置されたシャワーヘッドを介して還元ガスを供給し、前記半導体ウエハの主面上の前記シリコンの表面をドライクリーニング処理する工程;
    (c)前記シャワーヘッドの加熱温度を利用した第1の温度で前記半導体ウエハを熱処理する工程、
    ここで、前記シャワーヘッドは180℃よりも高い温度に維持される。
  9. 請求項8記載の半導体装置の製造方法において、前記第1の温度は180から220℃である。
  10. 請求項8記載の半導体装置の製造方法において、前記第1の温度は200℃である。
  11. 自己整合反応によりニッケルシリサイド層を形成する半導体装置の製造方法であって、シリコン上にニッケル膜を堆積する前に以下の工程を含む半導体装置の製造方法:
    (a)第1のチャンバに備わるウエハステージ上に半導体ウエハを置く工程;
    (b)前記ウエハステージの上方に設置されたシャワーヘッドを介して還元ガスを供給し、前記半導体ウエハの主面上の前記シリコンの表面をドライクリーニング処理する工程;
    (c)前記半導体ウエハを前記第1のチャンバから第2のチャンバへ搬送する工程;
    (d)前記第2のチャンバにおいて、第2の温度で前記半導体ウエハを熱処理する工程、
    ここで、前記工程(b)では、前記半導体ウエハの側面および裏面に前記還元ガスが供給されない。
  12. 請求項11記載の半導体装置の製造方法において、前記第2の温度は150から400℃である。
  13. 請求項11記載の半導体装置の製造方法において、前記第2の温度は165から350℃である。
  14. 請求項11記載の半導体装置の製造方法において、前記第2の温度は180から220℃である。
  15. 請求項11記載の半導体装置の製造方法において、前記第2の温度は200℃である。
  16. 請求項11記載の半導体装置の製造方法において、前記工程(b)は以下の工程を含む:
    (b1)前記ウエハステージ上に置かれた前記半導体ウエハの周辺部をシーリングにより押さえる工程。
  17. 請求項11記載の半導体装置の製造方法において、前記工程(b)は以下の工程を含む:
    (b1)前記半導体ウエハを静電チャックにより前記ウエハステージ上に吸着する工程;
    (b2)前記半導体ウエハの周辺部を前記半導体ウエハと接触させずにシャドウリングにより覆い、前記ウエハステージの周辺部から不活性ガスを流入させる工程。
  18. 請求項11記載の半導体装置の製造方法において、前記工程(b)は以下の工程を含む:
    (b1)前記ウエハステージ上に置かれた前記半導体ウエハの周辺部をシーリングにより押さえる工程;
    (b2)前記ウエハステージの裏面側から不活性ガスを流入させる工程。
  19. 請求項11記載の半導体装置の製造方法において、前記第1のチャンバと前記第2のチャンバとの間の前記半導体ウエハの搬送は、真空搬送される。
  20. 請求項1、8または11記載の半導体装置の製造方法において、前記シリコンは電界効果トランジスタのゲート電極を構成する多結晶シリコンまたはソース・ドレイン拡散領域が形成された前記半導体ウエハを構成する単結晶シリコンである。
JP2006107780A 2006-04-10 2006-04-10 半導体装置の製造方法 Active JP5042517B2 (ja)

Priority Applications (5)

Application Number Priority Date Filing Date Title
JP2006107780A JP5042517B2 (ja) 2006-04-10 2006-04-10 半導体装置の製造方法
TW096110383A TWI405251B (zh) 2006-04-10 2007-03-26 Semiconductor device manufacturing method
KR1020070034064A KR20070101132A (ko) 2006-04-10 2007-04-06 반도체장치의 제조방법
CN2007100908789A CN101055832B (zh) 2006-04-10 2007-04-09 半导体装置的制造方法
US11/733,316 US7566662B2 (en) 2006-04-10 2007-04-10 Method of dry cleaning silicon surface prior to forming self-aligned nickel silicide layer

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006107780A JP5042517B2 (ja) 2006-04-10 2006-04-10 半導体装置の製造方法

Publications (2)

Publication Number Publication Date
JP2007281298A true JP2007281298A (ja) 2007-10-25
JP5042517B2 JP5042517B2 (ja) 2012-10-03

Family

ID=38575890

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006107780A Active JP5042517B2 (ja) 2006-04-10 2006-04-10 半導体装置の製造方法

Country Status (5)

Country Link
US (1) US7566662B2 (ja)
JP (1) JP5042517B2 (ja)
KR (1) KR20070101132A (ja)
CN (1) CN101055832B (ja)
TW (1) TWI405251B (ja)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007311540A (ja) * 2006-05-18 2007-11-29 Renesas Technology Corp 半導体装置の製造方法
JP2009176975A (ja) * 2008-01-25 2009-08-06 Renesas Technology Corp 半導体装置の製造方法
JP2009260004A (ja) * 2008-04-16 2009-11-05 Renesas Technology Corp 半導体装置の製造方法
US7955925B2 (en) 2007-07-03 2011-06-07 Renesas Electronics Corporation Method of manufacturing semiconductor device
US7964500B2 (en) 2009-03-05 2011-06-21 Renesas Electronics Corporation Method of manufacturing semiconductor integrated circuit device
US7994049B2 (en) 2007-06-15 2011-08-09 Renesas Electronics Corporation Manufacturing method of semiconductor device including filling a connecting hole with metal film
US8110457B2 (en) 2008-10-15 2012-02-07 Renesas Electronics Corporation Method of manufacturing semiconductor device
KR20200094677A (ko) * 2019-01-30 2020-08-07 도쿄엘렉트론가부시키가이샤 기판 처리 장치의 제어 방법, 기판 처리 장치 및 클러스터 시스템

Families Citing this family (168)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070082494A1 (en) * 2005-10-03 2007-04-12 United Microelectronics Corp. Method for forming silicide layer
KR100769833B1 (ko) * 2006-08-14 2007-10-23 동부일렉트로닉스 주식회사 반도체 소자 제조 방법
US20090035916A1 (en) * 2007-08-03 2009-02-05 Kim Jung Nam Method for manufacturing semiconductor device having fin gate
JP2009141096A (ja) * 2007-12-06 2009-06-25 Renesas Technology Corp 半導体装置の製造方法
US7803702B2 (en) * 2008-08-11 2010-09-28 United Microelectronics Corp. Method for fabricating MOS transistors
TWI427707B (zh) * 2008-08-12 2014-02-21 United Microelectronics Corp 製作金氧半導體電晶體的方法
US7749917B1 (en) 2008-12-31 2010-07-06 Applied Materials, Inc. Dry cleaning of silicon surface for solar cell applications
US8435862B2 (en) * 2010-03-29 2013-05-07 Renesas Electronics Corporation Method of manufacturing semiconductor device
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US20120009796A1 (en) * 2010-07-09 2012-01-12 Applied Materials, Inc. Post-ash sidewall healing
US20120088356A1 (en) * 2010-09-14 2012-04-12 Applied Materials, Inc. Integrated platform for in-situ doping and activation of substrates
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9633890B2 (en) * 2011-12-16 2017-04-25 Lam Research Ag Device for treating surfaces of wafer-shaped articles and gripping pin for use in the device
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
CN103866260B (zh) * 2014-02-24 2017-01-25 北京京东方光电科技有限公司 一种镀膜方法、镀膜装置和镀膜生成系统
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
CN106158585B (zh) * 2015-04-03 2020-02-11 中芯国际集成电路制造(上海)有限公司 晶圆的表面处理方法、半导体器件及其制作方法
CN104992903B (zh) * 2015-07-30 2018-06-22 上海华力集成电路制造有限公司 一种高质量栅极氧化层形成方法
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
CN109560030B (zh) * 2017-09-26 2024-02-09 Tcl环鑫半导体(天津)有限公司 一种自动圆形硅片倒片机
CN109560029B (zh) * 2017-09-26 2024-02-09 Tcl环鑫半导体(天津)有限公司 一种自动圆形硅片倒片机构
CN107749392A (zh) * 2017-09-26 2018-03-02 合肥新汇成微电子有限公司 一种半导体晶圆的表面处理方法
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
JP2019117855A (ja) * 2017-12-27 2019-07-18 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005244244A (ja) * 2004-02-26 2005-09-08 Applied Materials Inc ライン製造のフロントエンドのためのインサイチュドライクリーンチャンバ
WO2005098913A1 (ja) * 2004-04-09 2005-10-20 Tokyo Electron Limited Ti膜およびTiN膜の成膜方法およびコンタクト構造、ならびにコンピュータ読取可能な記憶媒体およびコンピュータプログラム

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002093739A (ja) 2000-09-07 2002-03-29 Macronix Internatl Co Ltd 自己整合シリサイド膜の形成方法
JP3989286B2 (ja) * 2002-04-26 2007-10-10 株式会社ルネサステクノロジ 半導体装置の製造方法
US6873051B1 (en) * 2002-05-31 2005-03-29 Advanced Micro Devices, Inc. Nickel silicide with reduced interface roughness
US7169704B2 (en) * 2002-06-21 2007-01-30 Samsung Electronics Co., Ltd. Method of cleaning a surface of a water in connection with forming a barrier layer of a semiconductor device
US20060051966A1 (en) * 2004-02-26 2006-03-09 Applied Materials, Inc. In-situ chamber clean process to remove by-product deposits from chemical vapor etch chamber
US7550381B2 (en) * 2005-07-18 2009-06-23 Applied Materials, Inc. Contact clean by remote plasma and repair of silicide surface
JP2007234667A (ja) * 2006-02-27 2007-09-13 Fujitsu Ltd 半導体装置の製造方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005244244A (ja) * 2004-02-26 2005-09-08 Applied Materials Inc ライン製造のフロントエンドのためのインサイチュドライクリーンチャンバ
WO2005098913A1 (ja) * 2004-04-09 2005-10-20 Tokyo Electron Limited Ti膜およびTiN膜の成膜方法およびコンタクト構造、ならびにコンピュータ読取可能な記憶媒体およびコンピュータプログラム

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007311540A (ja) * 2006-05-18 2007-11-29 Renesas Technology Corp 半導体装置の製造方法
US7994049B2 (en) 2007-06-15 2011-08-09 Renesas Electronics Corporation Manufacturing method of semiconductor device including filling a connecting hole with metal film
US7955925B2 (en) 2007-07-03 2011-06-07 Renesas Electronics Corporation Method of manufacturing semiconductor device
JP2009176975A (ja) * 2008-01-25 2009-08-06 Renesas Technology Corp 半導体装置の製造方法
TWI495014B (zh) * 2008-01-25 2015-08-01 Renesas Electronics Corp Semiconductor device manufacturing method
JP2009260004A (ja) * 2008-04-16 2009-11-05 Renesas Technology Corp 半導体装置の製造方法
US8110457B2 (en) 2008-10-15 2012-02-07 Renesas Electronics Corporation Method of manufacturing semiconductor device
US7964500B2 (en) 2009-03-05 2011-06-21 Renesas Electronics Corporation Method of manufacturing semiconductor integrated circuit device
KR20200094677A (ko) * 2019-01-30 2020-08-07 도쿄엘렉트론가부시키가이샤 기판 처리 장치의 제어 방법, 기판 처리 장치 및 클러스터 시스템
KR102389116B1 (ko) 2019-01-30 2022-04-21 도쿄엘렉트론가부시키가이샤 기판 처리 장치의 제어 방법, 기판 처리 장치 및 클러스터 시스템

Also Published As

Publication number Publication date
CN101055832B (zh) 2010-12-08
KR20070101132A (ko) 2007-10-16
CN101055832A (zh) 2007-10-17
TWI405251B (zh) 2013-08-11
TW200741831A (en) 2007-11-01
JP5042517B2 (ja) 2012-10-03
US7566662B2 (en) 2009-07-28
US20070238321A1 (en) 2007-10-11

Similar Documents

Publication Publication Date Title
JP5042517B2 (ja) 半導体装置の製造方法
US8021979B2 (en) Method of manufacturing semiconductor device
JP5214261B2 (ja) 半導体装置の製造方法
US8222133B2 (en) Manufacturing method of semiconductor device
JP5358165B2 (ja) 半導体集積回路装置の製造方法
US7964500B2 (en) Method of manufacturing semiconductor integrated circuit device
JP5507654B2 (ja) 半導体装置の製造方法
US20090149020A1 (en) Method of manufacturing a semiconductor device
JP3878545B2 (ja) 半導体集積回路装置の製造方法
US7670952B2 (en) Method of manufacturing metal silicide contacts
TWI792293B (zh) 半導體裝置及其製造方法
JP2005093909A5 (ja)
JP2009021331A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090406

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A712

Effective date: 20100528

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20111110

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20111115

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120111

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120619

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120711

R150 Certificate of patent or registration of utility model

Ref document number: 5042517

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150720

Year of fee payment: 3

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350